home *** CD-ROM | disk | FTP | other *** search
/ ftp.pasteur.org/FAQ/ / ftp-pasteur-org-FAQ.zip / FAQ / lsi-cad-faq / part3 < prev    next >
Internet Message Format  |  1997-01-10  |  49KB

  1. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!news.mathworks.com!uunet!in1.uu.net!204.191.160.4!van-bc!news.mindlink.net!nntp.portal.ca!news.bc.net!info.ucla.edu!nnrp.info.ucla.edu!news.ucdavis.edu!altarrib!monk
  2. From: altarrib@monk.ece.ucdavis.edu (Michael Altarriba)
  3. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 3/4) [LONG]
  5. Supersedes: <lsi-cad-faq/part3_849196414@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Date: 10 Jan 1997 00:53:31 GMT
  8. Organization: Department of Electrical and Computer Engineering, UC Davis
  9. Lines: 970
  10. Approved: news-answers-request@MIT.Edu
  11. Distribution: world
  12. Message-ID: <lsi-cad-faq/part3_852857606@bird.ece.ucdavis.edu>
  13. References: <lsi-cad-faq/part2_852857606@bird.ece.ucdavis.edu>
  14. Reply-To: clcfaq@ece.ucdavis.edu
  15. NNTP-Posting-Host: monk.ece.ucdavis.edu
  16. Summary: This is a biweekly posting of frequently asked questions with answers 
  17.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  18.          before posting questions to comp.lsi or comp.lsi.cad.
  19. Keywords: FAQ
  20. Xref: senator-bedfellow.mit.edu comp.lsi:7658 comp.lsi.cad:8480 news.answers:91627 comp.answers:23573
  21.  
  22. Archive-name: lsi-cad-faq/part3
  23. Posting-Freqency: every 14 days
  24. Url: http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html
  25.  
  26.             - 1 schematic page (unlimited hierarchy)
  27.             - up to 25 parts on a page
  28.             - A-size page only
  29.             - up to 20 user-defined symbols
  30.             - no printing from within the Symbol Editor
  31.             - no export/import of symbols
  32.             - number of Symbol Library files that can be loaded is limited
  33.                   to the total number shipped plus one
  34.  
  35.           Netlister limitations include:
  36.  
  37.             - up to 70 real devices for PSpice A/D netlists
  38.             - up to 50 symbols, before packaging, for PCB layout netlists
  39.  
  40.           The following files are needed (use password 'anonymous':
  41.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/62wine.exe>
  42.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/62plsyne.exe>
  43.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/readme.txt>
  44.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/train.txt>
  45.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/tutor.exe>
  46.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/win32s25.exe>
  47.  
  48.           A version for windows is also available. Read
  49.                           <URL:ftp://ftp.netcom.com/pub/mi/microsim/.message>
  50.  
  51.           to determine the necessary files.
  52.  
  53. 31: Esim:
  54.  
  55.   A new version of the switch-level simulator ESIM that can handle CMOS
  56.   transmission gates is available through MUG, ftp ftp.mosis.edu
  57.   (128.9.0.32))
  58.  
  59. 32: iSPLICE3, a mixed-mode simulator for MOS/Bipolar circuits
  60.  
  61.   (from Xiaocun Xu <xu@uivlsi.csl.uiuc.edu>)
  62.  
  63.   "iSPLICE3: A Mixed-Mode Simulator for MOS/Bipolar Circuits"
  64.  
  65.   The iSPLICE3 program is the third version of the SPLICE mixed-mode simu-
  66.   lation program currently under development at the University of Illinois,
  67.   based on research work originally initiated at the University of Califor-
  68.   nia at Berkeley.  A mixed-mode simulator allows the circuit designer to
  69.   intelligently tradeoff simulation accuracy for speed within the scope of
  70.   a single simulator.  The circuit designer is permitted to represent dif-
  71.   ferent parts of the same circuit at different levels of abstraction and
  72.   the mixed-mode simulator combines the different representations, models
  73.   and signal types in one simulation and produces the desired results while
  74.   greatly reducing the overall run-time.  Currently, the iSPLICE3 program
  75.   has electrical, logic and and switch-level timing simulation modes.  The
  76.   electrical analysis is performed using Iterated Timing Analysis (ITA)
  77.   which is an accurate, event-driven, relaxation-based circuit simulation
  78.   technique.  The transistor models include MOS level 1, MOS level 3, the
  79.   TI MOS model due to Yang and Chatterjee and a Bipolar transistor model
  80.   from SPICE2.  Accurate switch-level simulation is performed using ELOGIC.
  81.   In this mode, a set of discrete voltage states are defined and the time
  82.   required to make a transition between two adjacent states is computed
  83.   using electrical information.  The precision of the model can be adjusted
  84.   to suit the desired level of accuracy.  For logic simulation, simple
  85.   gates such as inverters, nors, nands, etc.  are available with fanout-
  86.   dependent delay models.
  87.  
  88.           The program can be obtained from the University of Illinois by
  89.           writing to:
  90.  
  91.                           Prof. R. Saleh, RE: Splice Program
  92.                           Coordinated Science Laboratory
  93.                           University of Illinois,
  94.                           Urbana, IL. 61801.
  95.  
  96.   There is a $100 cost for the tape, documentation, userguide and handling
  97.   charges for university or academic requests.  FTP access is free of
  98.   charge on uivlsi.csl.uiuc.edu.  There is a $400 charge to companies for
  99.   the entire tape/documentation set but no charge for FTP access.  Please
  100.   make checks payable to the University of Illinois.  Please request either
  101.   a Sun-tape or a 1600bpi magnetic tape.
  102.  
  103. 33: Watand:
  104.  
  105.   (From Phil Munro <FC138001@ysub.ysu.edu>)
  106.  
  107.     This posting will give the interested person some information about the
  108.   WATAND (WATerloo ANalysis and Design) circuit simulator.  Watand was
  109.   introduced at the 16th Midwest Symposium on Circuit Theory (1973).  In
  110.   spite of its lack of advertising, Watand still offers some advantages
  111.   when compared with other well known circuit simulators.  For example it
  112.   is a *truly* interactive simulator; that is, one enters the "WATAND"
  113.   environment in which analyses and design can be run and rerun, values
  114.   changed, settings queried and changed, etc.
  115.  
  116.     Watand uses piecewise-linear as its primary simulation; other methods
  117.   are optional.  It has ten built-in analyses which include the standard
  118.   dc, ac, and transient analyses, and two post-processors (display and
  119.   discrete Fourier).  Output may be in the form of printed tables; graphics
  120.   display includes Tektronix 40xx output.  At YSU interactive helps are
  121.   also available.
  122.  
  123.     Watand provides for the creation and use of user defined elements in
  124.   addition to its own good stock of 34 built-in elements plus 21 built-in
  125.   user defined elements.  User defined analyses and post-processors can
  126.   also be written, and it includes a powerful macro facility.
  127.  
  128.     As of June, 1992, sale of the Watand simulator was still being handled
  129.   by Mark O'Leavey, Waterloo Engineering Software, 22 King St. S., Suite
  130.   302, Waterloo, Ontario, CANADA, N2L 1C6, Fax: (519) 746-7931; Phone:
  131.   (519) 741-8097.  At that time I was informed that it was available only
  132.   for DECStation and Sparcstation, although we are running it quite suc-
  133.   cessfully at YSU under the CMS operation system on an Amdahl mainframe.
  134.  
  135.     Two new and helpful manuals are available for the simulator.  They
  136.   should be available at the Youngstown State University Bookstore, Youngs-
  137.   town, OHio 44555:  Their approximate cost should be $7 each:
  138.  
  139.           "WATAND Users Manual," by Dr. Phil Munro, Youngstown State
  140.           University, April 1992, 233 pages, 10 chapters, 4 appendices,
  141.           index.
  142.  
  143.           "WATAND Introduction and Examples," by Dr. Phil Munro, Youngstown
  144.           State Unversity, June 1992, 204 pages, 12 chapters, index.
  145.  
  146.     Watand does *not* include digital simulation at this time, nor does it
  147.   have any transmission-line elements.  A self-heating BJT model has been
  148.   developed and is proving useful.  Monte Carlo statistical simulation is
  149.   possible with dc and ac analyses using macro based analyses which have
  150.   been developed at YSU.
  151.  
  152. 34: Caltech VLSI CAD Tools:
  153.  
  154.   (From John Lazzaro <lazzaro@cs.berkeley.edu>)
  155.  
  156.            Caltech VLSI CAD Tool Distribution - The Chipmunk Tools
  157.  
  158.   The software tools in the Chipmunk system perform a wide variety of
  159.   tasks: electronic circuit simulation and schematic capture, graphics
  160.   editing, and curve plotting, to name a few. The tools run under a wide
  161.   assortment of Unix environments, as well as OS/2. Major Chipmunk tools
  162.   include:
  163.  
  164.             Log: A graphical environment for entering circuit schematics, and
  165.                  for analog and digital circuit simulation.
  166.            View: A tool for manipulating and plotting data.
  167.           Until: A graphics editor.
  168.             Wol: A tool for creating integrated circuit layout.
  169.  
  170.   In addition to these major tools, many smaller tools are part of the
  171.   Chipmunk system. For more information on Chipmunk, access the Web page:
  172.  
  173.           http://www.pcmp.caltech.edu/chipmunk/
  174.  
  175.   or anonymous FTP to pcmp.caltech.edu and get the file:
  176.  
  177.           pub/chipmunk/README
  178.  
  179.   Contact the maintainer, John Lazzaro (lazzaro@cs.berkeley.edu) if you
  180.   have problems accessing the distribution.
  181.  
  182. 35: Switcap2 (Current version 1.1):
  183.  
  184.   This is a switched capactor simulator.  It is available from:
  185.  
  186.                   SWITCAP Distribution centre,
  187.                   411 Low Memorial Library,
  188.                   New York,
  189.                   N.Y. 10027.
  190.  
  191. 36: Test Software based on Abramovici Text:
  192.  
  193.   (Contributed by Mel Breuer of the Univ. of Southern California)
  194.  
  195.   Many faculty are using the text by Abramovici, Breuer, and Fried- man
  196.   entitled  "Digital Systems Testing and Testable Design" in a class on
  197.   testing.  They have expressed an interest to  supplement their  course
  198.   with software tools.  At USC we have developed such a suite of tools.
  199.   They include a  good  value  simulator,  fault simulator,  fault  col-
  200.   lapsing  module, and D-algorithm-based ATPG module for combinational
  201.   logic.  The software has  been  specifi- cally  designed  to  be easily
  202.   understood, modified and enhanced.  The algorithms follow those described
  203.   in the text.  The  software can  be  run  in many modes, such as one
  204.   module at a time, single step, interactively or as a batch process.  Stu-
  205.   dents can use  the software  "as  is"  to  study  the operation of the
  206.   various algo- rithms, e.g. simulation of a latch using different delay
  207.   models.  Also,  simple  programming  projects can be given, such as
  208.   extend the simulator from a 3-valued system to  a  5-valued  system;  or
  209.   change  the D-algorithm so that it only does single path sensiti- zation.
  210.   There  are  literally  over  50  interesting   software enhancements
  211.   that  can  be made by changing only a small part of the code.  The system
  212.   is written in C and runs on a SUN.
  213.  
  214.   If you are currently using the Abramovici text and would  like  a copy
  215.   of  this  software,  please  send a message to Prof. Melvin Breuer at
  216.   mb@poisson.usc.edu.
  217.  
  218. 37: Test Generation and Fault Simulation Software
  219.  
  220.   (Contributed by Dr. Dong Ha of Virginia Tech)
  221.  
  222.   Two automatic test pattern generators (ATPGs) and a fault simula- tor
  223.   for  combinational circuits were developed at Virginia Tech, and the
  224.   source codes of  the  tools  are  now  ready  for  public release.
  225.   ATLANTA is an ATPG for stuck-at faults.  It is based on the FAN algorithm
  226.   and a parallel-pattern,  single-fault  propaga- tion  technique.   It
  227.   consists of optional sessions using random pattern testing, deterministic
  228.   test pattern generation  and  test compaction.  SOPRANO is an ATPG for
  229.   stuck-open faults.  The algo- rithm of SOPRANO is similar to  ATLANTA
  230.   except  two  consecutive patterns  are  applied  to  detect a stuck-open
  231.   fault.  FSIM is a parallel-pattern, single-fault  simulator.   All  the
  232.   tools  are written  in  C.  The source codes are fully commented, and
  233.   README files contain user's manuals.  Technical papers about  the  tools
  234.   were  presented at DAC-90 and ITC-91. All three tools are free to univer-
  235.   sities.  Companies are requested to make a contribution  of $5000  but
  236.   will have free technical assistance.  For detailed in- formation, con-
  237.   tact:
  238.  
  239.              Dr. Dong Ha
  240.              Electrical Engineering
  241.              Virginia Tech
  242.              Blacksburg, VA 24061
  243.              TEL: 703-231-4942
  244.              FAX: 703-231-3362
  245.              dsha@vtvm1.cc.vt.edu
  246.  
  247. 38: Olympus Synthesis System
  248.  
  249.   (From Rajesh K. Gupta <rgupta@sirius.Stanford.EDU>)
  250.  
  251.   Recently there have been several enquiries about the Olympus Synthesis
  252.   System. Here are answers to some commonly asked questions. For details
  253.   please send mail to "synthesis@chronos.stanford.edu".
  254.  
  255.   1. What is Olympus Synthesis System?
  256.  
  257.   Olympus is a result of a continuing project on synthesis of digital cir-
  258.   cuits here at Stanford University. Currently, Olympus synthesis system
  259.   consists of a set of programs that perform synthesis tasks for synchro-
  260.   nous, non-pipelined circuits starting from a description in a hardware
  261.   description language, HardwareC.
  262.  
  263.   The output of synthesis is a technology independent netlist of gates.
  264.   This netlist can be input to logic synthesis and technology mapping tools
  265.   within Olympus or to UC Berkeley's mis/sis. Current technology mapping in
  266.   Olympus is targeted for LSI logic standard cells and a set of PGA archi-
  267.   tectures: Actel and Xilinx.
  268.  
  269.   2. How is Olympus distributed?
  270.  
  271.   The source code and documentation for Olympus is distributed via ftp.
  272.  
  273.   3. What are the system requirements for Olympus?
  274.  
  275.   Olympus has been tested on following hardware platforms: mips, sparc,
  276.   hp9000s300, hp9000s800, hp9000s700, vax.  All the programs in Olympus
  277.   come with a default menu-driven ASCII interface. There is also a graphi-
  278.   cal user interface, called "olympus", provided with the distribution.
  279.   This interface is written using Motif procedures.
  280.  
  281.   You would need about 40 MBytes of disk space to extract and compile the
  282.   system.
  283.  
  284.   4. How can I obtain a copy of Olympus?
  285.  
  286.   Olympus is distributed free of charge by Stanford University.  However,
  287.   it is not available via anonymous ftp. In order to obtain a copy please
  288.   send a mail to "olympus@chronos.stanford.edu" where an automatic-reply
  289.   mailer would send instructions for obtaining Olympus software.
  290.  
  291. 39: OASIS logic synthesis
  292.  
  293.   (From William R. Richards Jr. <richards@mcnc.org>)
  294.  
  295.   OASIS is a complete logic synthesis system based on the Logic3 HDL
  296.   develped at MCNC (unfortunately neither VHDL or Verilog compatible).
  297.   kk@mcnc.org is the person responsible for it. OASIS is available to US
  298.   universities for $500 and non-US universities for $600. Industrial
  299.   license is $3000.
  300.  
  301. 40: T-SpiceTM (was CAzM), a Spice-like table-based analog circuit simulator
  302.  
  303.   (From William R. Richards Jr. <richards@mcnc.org>)
  304.  
  305.   CAzM is a Spice-like table-based analog circuit simulator. It offers sig-
  306.   nificant performance advantages over other Berkeley Spice derivatives. It
  307.   is used fairly extensively in our design community.  US university
  308.   license is $175, non-US $250. Commercial license is $800. It comes with
  309.   an X11- based signal viewing tool Sigview which is public domain and may
  310.   be anonymous ftp'd from mcnc.org. I am the primary contact for CAzM at
  311.   MCNC.
  312.  
  313.   (Contact sales@tanner.com)
  314.  
  315.   The CAzM program that was developed and offered by MCNC, has been
  316.   licensed for distribution by Tanner Research, Inc. of Pasadena, CA and
  317.   all future product availability and support is available from Tanner
  318.   Research.  The program as offered by Tanner Research is a commercial pro-
  319.   duct and is now named T-Spice.  This Spice-like simulator offers table-
  320.   based model evaluations for fast simulation performance, as well as,
  321.   included analytical models for use with digital and analog circuits.
  322.   Improvements to the CAzM models have also been made.  Tanner Research
  323.   offers an optional Advance Model Library of charged controlled models
  324.   that includes an accurate, physically-based MOSFET model that is continu-
  325.   ous over all transistor regions of operations (including subthreshold),
  326.   and scales to submicron channel lengths.  User defined models of any cus-
  327.   tom component or circuit written in "C" can be readily linked to T-Spice
  328.   as a general n-terminal device.  Pricing is $995 for the simulator and
  329.   $1,245 with the Advance Model Library and Waveform Viewer.  Universities
  330.   are offered a 75% discount.  A modeling and extraction service is  also
  331.   provided by Tanner Research to generate functional or transistor level
  332.   circuit simulation models for user supplied devices.  The extraction ser-
  333.   vice provides extracted model parameters for existing circuit simulation
  334.   models, such as SPICE models, Tanner's own charge controlled MOS models,
  335.   or user's proprietary models.  In addition, software is available to aid
  336.   users in extracting model parameters in house.  For more information con-
  337.   tact Bhushan Mudbhary at Tanner Research (bhushan @ tanner.com), phone
  338.   818-792-3000 and fax 818-792-0300.
  339.  
  340. 41: Galaxy CAD, integrated environment for digital design for Macintosh
  341.  
  342.   Thanks to Simon Leung <sleung@sun1.atitech.ca>
  343.  
  344.   The Galaxy CAD System is an integrated environment for digital design and
  345.   for rapid prototyping of CAD tools and other software.  The system
  346.   currently includes schematic capture and simulation of both low-level and
  347.   high-level digital designs and is being expanded to include physical
  348.   design tools.  Galaxy runs on a number of 680X0 platforms, including the
  349.   Apple Macintosh, HP9000/3XX, Apollo Domain, and Atari ST.  Others will be
  350.   added according to demand.
  351.  
  352.   The Galaxy CAD System is an ideal environment for teaching digital
  353.   design.  It has been used successfully for both introductory logic design
  354.   and computer design courses at Wisconsin.  Some of the features of Galaxy
  355.   that make it suitable for education are:
  356.  
  357.   1.  Integrated multiple-window environment: All Galaxy tools run
  358.       concurrently in a multiple window environment.  Copying data
  359.       from one window to another is simple.  Any number of simulation
  360.       sessions can be active simultaneously.
  361.  
  362.   2.  Hierarchy: the schematic editor and simulator are both fully
  363.       hierarchical.  Building hierarchical designs is simple, including
  364.       creating symbols for modules.  The simulator is a true hierarchical
  365.       simulator: it does not require a time-consuming macro-expansion
  366.       step.
  367.  
  368.   3.  Integrated editing and simulation: Designs are edited and
  369.       simulated in the same environment.  Simulation input and output
  370.       can be shown directly on schematics, allowing direct manipulation
  371.       of net values.  Unlike other products, Galaxy does not require
  372.       modification of the schematic to insert "switch" and "light"
  373.       components.  In addition, Galaxy allows display of bus values in
  374.       hexadecimal directly on schematics to simplify debugging of
  375.       high-level designs.  Simulation I/O can also use waveforms,
  376.       text files, and tables.
  377.  
  378.   4.  Faults: Stuck-at faults can be introduced on the schematic
  379.       editor and simulated immediately without rebuilding the
  380.       simulation model.  This provides an excellent way to display
  381.       the effects of faults.
  382.  
  383.   5.  Buses: Galaxy supports specification and simulation of bus
  384.       structures, including complex extractions, fanouts, and bit
  385.       reversal.  Buses are specified by annotating nets with text.
  386.       For simulation, buses are kept intact so that multiple-bit
  387.       high-level components can be used.  Galaxy includes a library
  388.       of register-transfer components suitable for high-level
  389.       computer design and simulation.
  390.  
  391.   6.  Alternate specification of designs: In addition to schematics,
  392.       Galaxy users can specify design modules using a textual HDL
  393.       (GHDL) and using hardware flowcharts and state diagrams.  A
  394.       hierarchical design can mix these representations as desired.
  395.  
  396.   7.  High-quality PostScript output: Galaxy schematics are of excellent
  397.       quality.  Gates are drawn according to standard practices, e.g.,
  398.       OR gates are drawn with the correct circular arcs and not ellipses.
  399.  
  400.   8.  Uniform user interface: Galaxy tools have the same user interface
  401.       on all platforms, reducing student learning curves.  In fact,
  402.       the same tool OBJECT CODE runs on all platforms due to the unique
  403.       structure of Galaxy.
  404.  
  405.   9.  Adding new simulation primitives is straightforward.
  406.  
  407.   10. No cost: Galaxy is available for free via anonymous FTP (Apple
  408.       Macintosh version).  Other versions will be made available based
  409.       on demand.
  410.  
  411.   Galaxy is also an excellent environment for rapid prototyping of new CAD
  412.   tools.  By building on top of available resources, we have been able to
  413.   prototype new tools in days or weeks that would ordinarily have taken
  414.   months or years.  For more information, send e-mail.
  415.  
  416.   To obtain Galaxy CAD, connect to "ftp://eceserv0.ece.wisc.edu/pub/galaxy"
  417.   using FTP.  Log in as "anonymous" with password "guest".  Galaxy is in
  418.   directory "pub/galaxy".  The file "README" in that directory gives
  419.   further instructions.  Please register as a user by sending e-mail to
  420.   "beetem@engr.wisc.edu".
  421.  
  422.   John F. Beetem
  423.   ECE Department
  424.   University of Wisconsin - Madison
  425.   Madison, WI  53706
  426.   USA
  427.   (608) 262-6229
  428.   beetem@engr.wisc.edu
  429.  
  430. 42: WireC graphical/procedural system for schematic information
  431.  
  432.   (From Larry McMurchie <larry@cs.washington.edu>)
  433.  
  434.   WireC is a graphical specification language that combines schematics with
  435.   procedural constructs for describing complex microelectronic systems.
  436.   WireC allows the designer to choose the appropriate representation,
  437.   either graphical or procedural, at a fine-grain level depending on the
  438.   characteristics of the circuit being designed.  Drawing traditional
  439.   schematic symbols and their interconnections provides fast intuitive
  440.   interaction with a circuit design while procedural constructs give the
  441.   power and flexibility to describe circuit structures algorithmically and
  442.   allow single descriptions to represent whole families of devices.
  443.  
  444.   The procedural capability of WireC allows other CAD tools to be incor-
  445.   porated into the design system.  For example, we have defined an inter-
  446.   face to the SIS logic synthesis system wherein the designer can represent
  447.   part of the system behaviorally.  WireC invokes logic synthesis on these
  448.   components to produce a structural description that can be incorporated
  449.   into the rest of the design.
  450.  
  451.   Libraries of devices defining a particular netlist output format may be
  452.   defined by the user. The libraries currently distributed with WireC
  453.   include a default CMOS gate library whose output is the SIM format.  This
  454.   format can be simulated with COSMOS or IRSIM and compared against a cir-
  455.   cuit extracted from layout.  This library also includes devices that
  456.   allow a behavioral description to be synthesized and mapped using MIS or
  457.   SIS and incorporated into a larger circuit.
  458.  
  459.   Another library is the xnf library for designing systems with Xilinx
  460.   FPGAs.  Written by Jackson Kong, Martine Schlag and Pak Chan of UCSC,
  461.   this library contains devices specific to the 2000 and 3000 series Xilinx
  462.   LCA's.  In addition to drawing the devices explicitly, one can represent
  463.   parts of a circuit with equations and have these synthesized automati-
  464.   cally.
  465.  
  466.   Currently in progress is a library of CMOS gates for Cascade Design
  467.   Automation's ChipCrafter product.  WireC provides a mixed
  468.   schematic/procedural design frontend for ChipCrafter, which uses module
  469.   generation, timing analysis and place and route software to create a phy-
  470.   sical layout from the WireC design specification.
  471.  
  472.   WireC was written by Larry McMurchie, Carl Ebeling, Zhanbing Wu and Ed
  473.   Tellman.  We are interested in any libraries you may develop and will
  474.  
  475.   provide a limited degree of support.
  476.  
  477.   WireC requires an X-Windows compatible environment and a C++ compiler
  478.   such as Gnu G++ and AT&T CC.  WireC is available via ftp on the Internet.
  479.   For details send mail to
  480.  
  481.   larry@cs.washington.edu ebeling@cs.washington.edu
  482.  
  483. 43: LateX circuit symbols for schematic generation
  484.  
  485.   (From Adrian Johnstone <adrian@cs.rhbnc.ac.uk>)
  486.  
  487.   A set of circuit schematic symbols are available for use in LaTeX picture
  488.   mode. The set includes all basic logic gates in four orientations, FETs,
  489.   power supply pins, transmission gates, capacitors, resistors and wiring
  490.   T-junctions. All pins are on a 1mm grid and the symbols are designed to
  491.   be easily used with Georg Horn's TeXcad program: we even supply you with
  492.   a palette picture file that displays all 52 symbols in a compact grid
  493.   that you can cut and paste from within TeXcad. Each symbol lives in its
  494.   own .mac file and is defined as a 'savebox' so as to reduce memory con-
  495.   sumption. You must add the [bezier] option to your 'documentstyle' com-
  496.   mand. A small manual is provided in both Postscript and .dvi forms.
  497.  
  498.   The files lcircuit.zip and lcircuit.tar are available for anonymous ftp
  499.   from ftp://cscx.cs.rhbnc.ac.uk/pub/lcircuit (134.219.200.45). I will also
  500.   be uploading them to various ftp servers in the coming week.
  501.  
  502. 44: Tanner Research Tools (Ledit and LVS)
  503.  
  504.   (Contact sales@tanner.com)
  505.  
  506.   Low cost, yet very powerful commercial ASIC design tools are available
  507.   from Tanner Research, Inc. in Pasadena, CA.  These products are used by
  508.   industry and universities alike.  Tanner's products are nominally priced
  509.   at $995 per program, with a combined package named L-Edit Pro available
  510.   for $3,495 on the PC.  Universities are offered a 75% discount.  Here is
  511.   a list of their current programs:
  512.  
  513.           L-EditTM :      A full-custom layout editor with CIF and GDSII
  514.                           input/output.  Features a 32-bit coordinate space,
  515.                           all-angle geometry, unlimited hierarchy and number
  516.                           of layers.  The L-Edit Pro package includes L-Edit/DRC
  517.                           for design rule checking, L-Edit/SPR for automatic
  518.                           standard cell placement and routing, L-Edit/Extract
  519.                           for extracting transistors, capacitors, resistors and
  520.                           generic devices for SPICE-level simulation or comparison
  521.                           to a schematic and LVS ,a netlist comparison tool for
  522.                           topological and parametrical verification.  Optional
  523.                           layout libraries are also available.
  524.  
  525.           T-Spice:        Circuit level simulator (See item 41 for detail
  526.  
  527.           GateSimTM :     Gate-level simulator.  A full array of technology mapping
  528.                           libraries are also available.
  529.  
  530.   Products are available for the PC, Macintosh, Sun and Hp UNIX platforms.
  531.   For more information contact Bhushan Mudbhary at Tanner Research (bhushan
  532.   @ tanner.com), phone 818-792-3000 and fax 818-792-0300.
  533.  
  534. 45: SIMIC, a full-featured logic verification simulator.
  535.  
  536.   (From comp.archives.msdos.announce)
  537.  
  538.   SIMIC is a full-featured logic verification simulator.  It has been
  539.   demonstrated that SIMIC can uncover a number of critical design errors
  540.   that other simulators miss.  SIMIC has shown superior accuracy and
  541.   throughput when compared to competitive products.  Here are some of
  542.   SIMIC's important features:
  543.  
  544.   -  Mixed-mode simulation allows the free intermixture of true
  545.      bilateral switches (ideal and resistive), gate, plus functional level
  546.      built-in and user defined primitives.
  547.  
  548.   -  A wide variety of output, whose detail, content and format are, to
  549.      large extent, user defined.
  550.  
  551.   -  A large repetoire of simulation options and controls that can be
  552.      applied interactively, or in batch operation, and simplify
  553.      trouble-shooting of your design.
  554.  
  555.   -  Automated Test equipment emulation, allows debugging test programs
  556.      using SIMIC troubleshooting techniques.
  557.  
  558.   -  Sophisticated hazard analysis including:  Spike, Pulse, Conflict,
  559.      Oscillation, Setup, Hold, Pulse-width, Near (what-if)
  560.      detection, among others.  Hazard propagation is also supported.
  561.  
  562.   The student version of SIMIC is limited to a maximum of 500 elements
  563.   (parts).  In all other respects it is the same program as the commercial
  564.   offering.  The PC student version requires a 386 or better and at least 2
  565.   Meg of memory.  Both a DPMI and a VCPI version are included in the pack-
  566.   age.  Both versions require EMS *NOT* be disabled.  SIMIC is also avail-
  567.   able on Sun and other platforms.
  568.  
  569.   The latest version is 1.02.00. The changes from revision 1.00.04 are:
  570.  
  571.           Bug Fixes:
  572.                - Rams properly handled by circuit compiler.
  573.                - BTG (Ideal switches) compiled correctly with dynamic delays.
  574.                - By-name pin connections accepted by circuit compiler.
  575.                - JK Flip-flop timing checks can now be disabled.
  576.           Enhancements:
  577.                - Reduction in storage requirements for small RAMS.
  578.                - Fault Sensitization analysis added.
  579.                - Fault Simulation and grading added.
  580.  
  581.   This revision can be taken from ftp://oak.oakland.edu/pub/msdos/electric,
  582.   or ftp://wuarchive.wustl.edu/systems/msdos/electric . The files in ques-
  583.   tion are sim120bn.zip (Simic logic and fault simulator plus examples) and
  584.   sim120dc.zip (Simic Engineering and User's Guides).
  585.  
  586.   The latest version is:
  587.   <URL:ftp://ftp.njcc.com/pub/genashor/simoc/msdos/simic.zip>
  588.  
  589. 46: LASI CAD System, IC and device layout for IBM compatibles
  590.  
  591.   (from Mike Fitsimmons <mikef@eceuil.ece.uiuc.edu>)
  592.  
  593.   I have uploaded to SimTel, the Coast to Coast Software Repository (tm),
  594.   (available by anonymous ftp from the primary mirror site OAK.Oakland.Edu
  595.   and its mirrors):
  596.  
  597.           SimTel/msdos/cad/
  598.           lasi442a.zip    LASI v4.4.2 IC layout CAD pgm; unzip in
  599.           lasi442b.zip    LASI v4.4.2 IC layout CAD pgm; unzip in
  600.           lasi442c.zip    LASI v4.4.2 IC layout CAD pgm; unzip in
  601.  
  602.   This is Version 4.4.2 of the LASI CAD System that has been released
  603.   expressly for Internet by Dr. Dave Boyce the author.  LASI was developed
  604.   to do integrated circuit and device layout on almost any IBM compatible
  605.   personal computer.  It may be used for other CAD applications such as
  606.   schematics or printed circuit boards.  Drawings may be translated into
  607.   GDSII, CIF or HP-GL.  It is a CAD system that is easy to learn and run,
  608.   and is primarily intended for educational use in schools and colleges by
  609.   students, researchers, or anyone who doesn't have time of funding for
  610.   more elaborate CAD systems.
  611.  
  612.   Changes: This version contains many improvements to LASI itself, the HP-
  613.   GL plotter, the CIF converter and other programs.
  614.  
  615.   The condensed files are in three zipped files LASI442A.ZIP, LASI442B.ZIP
  616.   and LASI442C.ZIP. You must have all three zipped files to have a complete
  617.   set of LASI files.
  618.  
  619.   Uploaded on behalf of the author.
  620.  
  621. 47: EEDRAW, an electrical/electronic diagramming tool for IBM compatibles
  622.  
  623.   This is available from SimTel mirror sites such as:
  624.  
  625.           <ftp://oak.oakland.edu/SimTel/msdos/graphics/eedraw24.zip>
  626.  
  627.   This is the 2.4 release of EEDRAW, an electrical/electronic diagramming
  628.   tool for the IBM PC. Electrical Engineering drawing (with layers).
  629.   Please read the readme file in the primary archive for information on
  630.   other source programs needed such as the Libary files.
  631.  
  632. 48: MagiCAD, GaAs Gate Array Design through MOSIS
  633.  
  634.   (from Tom Smit <smith.thomas@mayo.edu>)
  635.  
  636.   MagiCAD is a system for GaAs semi-custom design through MOSIS and elec-
  637.   tromagnetic modeling of digital interconnect.
  638.  
  639.           MagiCAD is now available on the following platforms:
  640.               * DEC Alpha workstation running OSF/1 2.0
  641.               * HP 9000/700-series workstation running HP-UX 9.05
  642.               * Sun SparcStation running Solaris 2.3 (SunOS 5.3)
  643.  
  644.   The Mayo Graphical Integrated Computer Aided Design (MagiCAD) system
  645.   package provides a comprehensive design environment for the development
  646.   of digital systems, from initial concept to post-layout verification of
  647.   integrated circuits (ICs).  MagiCAD focuses on the development of high-
  648.   speed Gallium Arsenide (GaAs) gate array designs.  Specialized elec-
  649.   tromagnetic simulation tools are provided to address high clock rate
  650.   issues such as crosstalk and reflections, which become more important as
  651.   clock rates exceed several hundred MHz or signal edge rates become less
  652.   than 500 pico-seconds. MagiCAD provides all the necessary tools for high
  653.   clock rate GaAs IC design, and is also integrated with non-Mayo circuit,
  654.   logic, and fault simulators.
  655.  
  656.   MagiCAD provides a lower risk approach than full-custom design for
  657.   universities wishing to perform digital GaAs design through MOSIS.  This
  658.   is done by providing a gate array design environment where low-level
  659.   transistor design and layout issues have already been solved and
  660.   abstracted into a technology library of pre-defined cells. This frees the
  661.   student or researcher to solve the still challenging tasks of system and
  662.   gate-level design and layout to get high clock rate chips fabricated
  663.   through MOSIS that meet all specifications.
  664.  
  665.   MagiCAD has been used in the design of many GaAs chips that have been
  666.   successfully fabricated. The MagiCAD electromagnetic modeling tools have
  667.   been used in the analysis of many actual packages, multi-chip modules
  668.   (MCMs), and printed circuit boards (PCBs), uncovering and avoiding prob-
  669.   lems that are commonly associated with high-frequency, fast edge-rate
  670.   designs. The Vitesse Fury (TM) GaAs VSC2K gate array is provided as a
  671.   MagiCAD technology library, and has been used for both graduate and
  672.   undergraduate student chip designs. The Vitesse FX20K (HGaAs-III) has
  673.   been entered as a MagiCAD technology library, as a replacement for the
  674.   VSC2K (HGaAs-II).  A Mayo FX20K chip design is in fabrication now, and
  675.   after it is tested, the FX20K technology will be released for student
  676.   designs through MOSIS by 2Q 1995.
  677.  
  678.   Functionality that has been integrated into MagiCAD includes:
  679.     o  Vitesse Fury VSC2K GaAs gate array technology library (HGaAs-II)
  680.     o  Database which integrates all tools
  681.     o  Schematic entry through a general purpose graphics editor
  682.     o  Circuit simulator
  683.     o  Logic and timing simulators
  684.     o  Fault grading
  685.     o  Place and route tools
  686.     o  Layout verification tools
  687.     o  Output to standard GDSII format for mask creation
  688.     o  Electromagnetic analysis
  689.        -  Cross section entry with graphics editor
  690.        -  Multilayer multiconductor transmission line (MMTL) modeling
  691.        -  Network tool for solving cases with many transmission line components
  692.        -  Lossy and non-lossy cases
  693.        -  Frequency and time domain result displays
  694.        -  Used for analyzing complex design paths, through chip, MCM, and PCB
  695.  
  696.   The Advanced Research Projects Agency (ARPA) has funded Mayo to supply
  697.   MagiCAD to universities in the USA for research and educational purposes.
  698.   The direct cost to the universities for the MagiCAD software itself is
  699.   zero (although there may be costs for any non-Mayo software that univer-
  700.   sities may want).  Mayo-supplied MagiCAD training and support costs to
  701.   these institutions is funded by ARPA, and is therefore free to the
  702.   universities in the USA.  MagiCAD is not being distributed or supported
  703.   outside the USA.
  704.  
  705.           The general steps for a university to begin using MagiCAD
  706.           for digital GaAs gate array design include:
  707.             1) Contact Mayo Foundation to acquire MagiCAD software
  708.                and GaAs technology libraries.
  709.             2) Contact MOSIS to acquire general MOSIS information
  710.                and Vitesse-specific GaAs technology information.
  711.  
  712.   Point Of Contact For Acquiring MagiCAD And MagiCAD Support:
  713.  
  714.   Tom Smith
  715.   Mayo Foundation
  716.   Special Purpose Processor Development Group
  717.   200 First St. S. W., Guggenheim 1016A
  718.   Rochester, Minnesota 55905
  719.   Telephone:  (507) 284-0840
  720.   Telefax:    (507) 284-9171
  721.   EMail:      Smith.Thomas@Mayo.Edu
  722.  
  723.   Point Of Contact For Acquiring General MOSIS Information And Vitesse-
  724.   specific GaAs Technology Information:
  725.  
  726.   Sam Reynolds
  727.   The MOSIS Service
  728.   USC/ISI
  729.   4676 Admiralty Way
  730.   Marina del Rey, CA  90292-6695
  731.   Telephone:  (310) 822-1511 x172
  732.   Telefax:    (310) 823-5624
  733.   EMail:      sdreynolds@mosis.edu
  734.  
  735. 49: XSPICE, extended version of Spice
  736.  
  737.   (from Jeff Murray <jm67@hydra.gatech.edu>)
  738.  
  739.      I am one of the developers of XSPICE, and at the risk of being deluged
  740.   with requests for specific information on the tools, I can volunteer to
  741.   answer at least some questions. Currently there is no ftp site for infor-
  742.   mation; if there were, this posting would likely be unnecessary. However,
  743.   we are prohibited from posting even the User's Manual due to technology
  744.   export restrictions.
  745.  
  746.      The following is a copy of the original press release on XSPICE.  If
  747.   anyone would like additional clarification beyond this, or if some
  748.   aspects of the release are unclear, we can certainly take this as an
  749.   opportunity to remedy the situation. Please note that at the current time
  750.   there are many dozens of individuals who have obtained a copy of the
  751.   tools; if they have any comments or observations to make, I'm sure they
  752.   would be most welcome to other members of the user community.
  753.  
  754.                           XSPICE Press Release
  755.  
  756.                             January 2, 1993
  757.  
  758.                    Georgia Tech Research Corporation
  759.  
  760.   XSPICE, introduced at the 1992 International Symposium on Circuits and
  761.   Systems (ISCAS), is an extended and enhanced version of the popular SPICE
  762.   analog circuit simulation program originally developed at the University
  763.   of California at Berkeley. XSPICE was developed at the Georgia Tech
  764.   Research Institute (GTRI) as a tool for simulating circuits and systems
  765.   at multiple levels of abstraction. XSPICE permits a user to simulate ana-
  766.   log, digital, and even non-electronic designs from the circuit level
  767.   through the system level in a single simulator.  A special Code Modeling
  768.   feature allows users to add new models directly into the simulator exe-
  769.   cutable for maximum simulation speed and accuracy. Code models are writ-
  770.   ten in the C programming language allowing arbitrarily complex behavior
  771.   to be described. Code model development tools are provided to simplify
  772.   the process of creating new models, compiling them, and linking them with
  773.   the XSPICE core.
  774.  
  775.   XSPICE provides a rich set of predefined code models in addition to the
  776.   standard discrete device models available in SPICE. The XSPICE code model
  777.   library contains over 40 new functional blocks including summers, multi-
  778.   pliers, integrators, magnetics models, limiters, S-domain transfer func-
  779.   tions, digital gates, digital storage elements, and a generalized digital
  780.   state-machine.
  781.  
  782.   Digital functions are simulated in XSPICE through an embedded event-
  783.   driven algorithm added to the SPICE core. This algorithm is coordinated
  784.   with the analog simulation algorithm to provide fast and accurate simula-
  785.   tion of mixed-signal circuits and systems. The event-driven algorithm
  786.   supports a new "User-Defined Node" capability allowing additional event-
  787.   driven data types to be defined and used.  XSPICE comes with a 12-state
  788.   digital data type as well as a user-defined node library that includes
  789.   'real' and 'integer' types useful in simulating sampled-data systems such
  790.   as Digital Signal Processing algorithms.
  791.  
  792.   XSPICE is currently available for UNIX workstations and is supplied in
  793.   source code form allowing users to customize and extend the simulator and
  794.   models to particular needs. To date, the simulator has been successfully
  795.   compiled and used on HP Apollo and Sun workstations. The XSPICE simulator
  796.   and User's Manual are available with a cost-free license arrangement from
  797.   the Georgia Tech Research Corporation for a distribution charge of US
  798.   $200 (including first class postage within the U.S.A.; an additional US
  799.   $25 is required for overseas delivery by air). For further information,
  800.   please contact the Office of Technology Licensing, Georgia Tech Research
  801.   Corporation, Georgia Institute of Technology, 400 Tenth Street, Atlanta,
  802.   GA 30332-0415, USA, or phone (404) 894-6287 (voice) or (404) 894-9728
  803.   (FAX). Internet users may send email to XSPICE@GTRI.GATECH.EDU to obtain
  804.   copies of the order form and license agreement (please include the word
  805.   "license" in the subject header when mailing to this address).
  806.  
  807. 50: MISIM, a model-independent circuit simulation tool
  808.  
  809.   (from Bardo Muller <bardo@ief-paris-sud.fr>)
  810.  
  811.   University of Washington has recently released the updated MISIM simula-
  812.   tor.  The new release (Sun version) is now available through ftp with
  813.   anonymous login. The node address is 128.95.31.10. The release is under
  814.   /pub/misim.SUN.2.3.a. If you have any question, please don't hesitate to
  815.   contact us (misim_support@ee.washington.edu). Or, you can contact Prof.
  816.   Andrew Yang at 206-543-2932.
  817.  
  818.     Attention:
  819.     ---------
  820.  
  821.   We are currently re-writing the whole MISIM system in C with broader
  822.   design consideration. The noise and temperature simulation capability
  823.   will be incorporated into our next release. It would have more flexible
  824.   front end with better simulation performance.  The new version is
  825.   expected sometime around the end of this summer.  Since the actual
  826.   release no longer reflected the level of our technology, we removed it
  827.   from our ftp directory.
  828.  
  829.                                          MISIM Development Team
  830.                                          Department of Electrical Engineering
  831.                                          University of Washington
  832.  
  833.                       MISIM 2.3A Release:  General Information
  834.                      ------------------------------------------
  835.  
  836.   A) New capabilities:
  837.      ----------------
  838.  
  839.   MISIM 2.3A is distinguishable from the previous release in that is now
  840.   integrates a transistor-level mixed analog-digital simulator based on
  841.   analytical digital macromodeling. The mixed-signal simulator is equipped
  842.   with a front-end translator which accepts standard SPICE netlist syntax
  843.   and converts it into MISIM mixed-mode syntax. Analytic macromodels for
  844.   digital subcircuits are generated and loaded into MISIM core simulator
  845.   automatically. Synchronized simulation is then performed for the digital
  846.   subcircuits (processed by analytic solution) and the analog subcircuits
  847.   (processed by proven analog simulation algorithms) with much accelerated
  848.   speed and superior analog accuracy ( within 3-5 % of SPICE).
  849.  
  850.   The MISIM mixed-signal simulator supports all standard Berkeley MOS model
  851.   (Level 1, 2, 3, BSIM 1, BSIM 2). User-defined MOS models of arbitrary
  852.   complexity are also supported.
  853.  
  854.   Currently, the procedure of processing analytic digital macromodeling
  855.   cannot be applied to bipolar devices (G-P model). Hence, all bipolar
  856.   transistors will be simulated as "analog" components.
  857.  
  858.   MISIM's X-window graphic environment, WISE, has been upgraded to support
  859.   the mixed-signal simulation capabilities.
  860.  
  861.   B) Model Improvements:
  862.      ------------------
  863.  
  864.   MISIM 2.3A now supports improved SPICE models (MOS, Diode, BJT). Many of
  865.   the model discontinuities have been resolved leading to more reliable
  866.   simulation. The MOS Level 2 and Level 3 models have also been upgraded to
  867.   an improved charge-conserved models.  The standard SPICE diode model has
  868.   been enhanced to a non-quasi-static model capable of simulating accu-
  869.   rately the diode recovery effect.
  870.  
  871.   These improved SPICE models are released as linked models. Users are not
  872.   recommeded to unload these improved models.
  873.  
  874.   C) A New Parser:
  875.      ------------
  876.  
  877.   MISIM 2.3A incorporates a new netlist parser which supports two different
  878.   modes:
  879.  
  880.   1) Standard SPICE netlist syntax - default mode.  2) Enhanced SPICE net-
  881.   list syntax - MISIM mode.
  882.  
  883.   This new capability is designed to make MISIM completely spice-
  884.   compatible. In addition, the new parser now handles symbolic names and
  885.   expressions.
  886.  
  887.   D) Updated Documentations:
  888.      ----------------------
  889.  
  890.   An updated MISIM User's guide is available in postcript form. On-line
  891.   documentations is also provided.
  892.  
  893.   E) Future Release (MISIM 3.0):
  894.      --------------------------
  895.  
  896.   1) The next release will include a new C-version analog simulator which
  897.   has been benchmarked to be a factor of 2 to 3 times faster than the
  898.   current fortran version.
  899.  
  900.   2) The mixed-signal simulator will be enhanced to improve digital cover-
  901.   age rate (percentage of a mixed A/D circuit which can be processed by the
  902.   analytic digital macromodel) for better simulation performance.
  903.  
  904. 51: Nelsis Cad Framework
  905.  
  906.   (from their 'README' file)
  907.  
  908.   Release 4.3 is the latest version of the Nelsis IC Design System.  It
  909.   contains  a CAD framework that puts a substantial added-value under the
  910.   fingertips of the designer  by  organizing  the  design information  and
  911.   keeping  track  of  the  design  evolution.  It permits integration of
  912.   tools of  different  origin  and  achieves run-time  efficiency.   The
  913.   framework  is  based  on intelligent management of meta data on top of
  914.   the actual design descriptions; it administers high level information
  915.   about the design activities and the structure and status of the design,
  916.   rather than operating at the level of the detailed design descriptions.
  917.  
  918.   The  framework  services,  such  as  flow   management,   version manage-
  919.   ment,  concurrency  control and state management, have been implemented
  920.   on top of  the  meta  data  management  module.   The framework  controls
  921.   access to the design objects and administers meta data by performing
  922.   OTO-D queries.  Tools operate on  top  of the framework via the Data
  923.   Management Interface, obtaining access to the design data according to a
  924.   nested transaction schema.
  925.  
  926.   The Nelsis CAD Framework is available, together with a set of design
  927.   tools for demonstration purposes, through anonymous ftp from
  928.   <URL:ftp://dutente.et.tudelft.nl/pub/nelsis> .
  929.  
  930.   Release 4.6.1 is now available. More  information on NELSIS can be found
  931.   on WWW at <URL:http://www.ddtc.dimes.tudelft.nl/docs-4.6/docs.html>
  932.  
  933. 52: APLAC, a general purpose circuit simulation and design tool
  934.  
  935.   (from Sakari Aaltonen <sakari@picea.hut.fi>)
  936.  
  937.           -----------------------------------------
  938.                          APLAC 6.2
  939.           -----------------------------------------
  940.  
  941.   General information
  942.  
  943.   APLAC, a program for circuit simulation and analysis, is a joint develop-
  944.   ment of the Circuit Theory Lab of Helsinki University of Technology and
  945.   Nokia Corporation's Research Center. The main analysis modes are DC, AC,
  946.   noise, transient, oscillator, and (multitone harmonic) steady state.
  947.   APLAC can also be used for measurements with IEEE-488 apparatus.  APLAC's
  948.   transient analysis uses convolution for correct treatment of components
  949.   with frequency-dependent characteristics. Monte Carlo analysis is avail-
  950.   able in all basic analysis modes, as is sensitivity analysis in DC and AC
  951.   modes. N-port Z, Y, and S parameters, as well as two-port H parameters,
  952.   can be used in AC analysis. APLAC also includes a versatile collection of
  953.   system level blocks for the simulation and design of analog and digital
  954.   communication systems.
  955.  
  956.   Component models
  957.  
  958.   Too many to be listed here. In addition to familiar Spice models, a great
  959.   number of microwave components (microstrip/stripline) are included. Sys-
  960.   tem models include formula-based and discrete-time models useful in RF
  961.   design. The model parameters of the components may have any functional
  962.   dependency on frequency, time, temperature, or any other parameter. Users
  963.   can create new components by defining their - possibly nonlinear - static
  964.   and dynamic characteristics in APLAC's interpreter-type language. Spice-
  965.   syntax models can be imported.
  966.  
  967.   Input
  968.  
  969.   APLAC reads its input - the nodes, branches, and model parameters of the
  970.   components - from a text file. Model libraries can be created and
  971.   included. Expressions are written in a program-like manner; user func-
  972.   tions may be defined. Conditional and looping control structures are sup-
  973.   ported.
  974.  
  975.   Output
  976.  
  977.   The output results from one or several sweeps of any user-defined func-
  978.   tion of the circuit parameters, time, frequency, or temperature. The
  979.   results may be printed or plotted in rectangular or polar coordinates, or
  980.   on the Smith chart. Graphics output can be directed to an HPGL- or CSDF-
  981.   type file, or to a graphics file for later viewing.
  982.  
  983.   Optimization
  984.  
  985.   APLAC includes several optimization methods: gradient, conjugate gra-
  986.   dient, minmax, random, simulated annealing, tuning (manual optimization)
  987.   and gravity center (design centering). Any parameter in a design problem
  988.   can be used as a variable and any user-defined function may act as an
  989.   objective.
  990.  
  991.   Machine environment
  992.