home *** CD-ROM | disk | FTP | other *** search
/ ftp.pasteur.org/FAQ/ / ftp-pasteur-org-FAQ.zip / FAQ / lsi-cad-faq / part4 < prev   
Internet Message Format  |  1997-01-10  |  46KB

  1. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!news.mathworks.com!uunet!in1.uu.net!204.191.160.4!van-bc!news.mindlink.net!nntp.portal.ca!news.bc.net!info.ucla.edu!nnrp.info.ucla.edu!news.ucdavis.edu!altarrib!monk
  2. From: altarrib@monk.ece.ucdavis.edu (Michael Altarriba)
  3. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 4/4) [LONG]
  5. Supersedes: <lsi-cad-faq/part4_849196414@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Date: 10 Jan 1997 00:53:33 GMT
  8. Organization: Department of Electrical and Computer Engineering, UC Davis
  9. Lines: 964
  10. Approved: news-answers-request@MIT.Edu
  11. Distribution: world
  12. Message-ID: <lsi-cad-faq/part4_852857606@bird.ece.ucdavis.edu>
  13. References: <lsi-cad-faq/part3_852857606@bird.ece.ucdavis.edu>
  14. Reply-To: clcfaq@ece.ucdavis.edu
  15. NNTP-Posting-Host: monk.ece.ucdavis.edu
  16. Summary: This is a biweekly posting of frequently asked questions with answers 
  17.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  18.          before posting questions to comp.lsi or comp.lsi.cad.
  19. Keywords: FAQ
  20. Xref: senator-bedfellow.mit.edu comp.lsi:7659 comp.lsi.cad:8481 news.answers:91628 comp.answers:23574
  21.  
  22. Archive-name: lsi-cad-faq/part4
  23. Posting-Freqency: every 14 days
  24. Url: http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html
  25.  
  26.   Unix: X11;  PC: MS-Windows (math coprocessor required).
  27.  
  28.           Contact information
  29.           -------------------
  30.           Martti Valtonen                         Heikki Rekonen
  31.           Helsinki University of Technology       Nokia Research Center
  32.           Circuit Theory Laboratory               Hardware Design Technology
  33.           Otakaari 5A, SF-02150 Espoo, FINLAND    P.O.Box 156, SF-02101 Espoo,
  34.                                                   FINLAND
  35.           Fax:  358-0-460224                      Tel:  358-0-43761
  36.           e-mail:martti@aplac.hut.fi              Fax:  358-0-455 2557
  37.  
  38.           A        WWW        server        is         available         at
  39.           <URL:http://picea.hut.fi/aplac/main.html>,  and  an  experimental
  40.           hypertext              tutorial               is               at
  41.           <URL:http://picea.hut.fi/aplac/tutorial/main.html>
  42.  
  43.   Free (university version) binaries for HP9000/700, Sun4, and PC machines
  44.   are available via FTP from ftp://nic.funet.fi/pub/cae/aplac . Help files,
  45.   PS manuals, and collections of APLAC examples are in the same directory.
  46.  
  47. 53: SLS, a switch-level simulator
  48.  
  49.   (from comp.lsi.cad)
  50.  
  51.   DELFT UNIVERSITY OFFERS UNIQUE SWITCH-LEVEL SIMULATOR
  52.  
  53.   SLS is a switch-level simulator that can be used to simulate the logic
  54.   and timing behavior of large digital circuits that are described at the
  55.   (mixed) MOS transistor, gate and functional level.  It has fast and accu-
  56.   rate algorithms to predict the timing behavior of MOS circuits containing
  57.   > 100,000 transistors.  MOS transistor-level circuit descriptions are
  58.   easily mixed with gate-level and functional-level circuit descriptions,
  59.   where the behavior of the latter are described in the C programming
  60.   language.  There is an X-window based user-interface to graphically edit
  61.   the input signals and to inspect the simulation output signals. The same
  62.   interface is used to alternatively simulate the circuit with the well-
  63.   known circuit simulator SPICE.  SLS has already been used by many people
  64.   at many different sites, and numerous chips have been designed with it.
  65.   SLS is now made available world-wide to serve as a useful design and
  66.   verification tool to the international design community.  Apart from
  67.   being used as a stand-alone tool, SLS can also be used as a part of the
  68.   popular design system for Sea-Of-Gates circuits OCEAN, or it can be con-
  69.   nected to the advanced Nelsis CAD framework.
  70.  
  71.   The SLS simulator has three different simulation levels:
  72.  
  73.   1. Purely logic simulation based on abstract transistor strengths:
  74.      This level more or less behaves similar to the original switch-level
  75.      model as proposed by R.E. Bryant.  It computes logic states by
  76.      only considering node states and transistor types.
  77.  
  78.   2. Logic simulation based on exact transistor dimensions and node
  79.      capacitances: This level uses resistance division and capacitance
  80.      division algorithms to compute logic states. It finds correct logic
  81.      states in much more situations than conventional switch-level
  82.      simulators, e.g. when a resistance division occurs between a saturated
  83.      transistor and a non-saturated transistor.
  84.  
  85.   3. Logic and timing simulation based on transistor and node parameters:
  86.      RC time constant evaluations are used to approximate real voltages by
  87.      PIECEWISE-LINEAR VOLTAGE WAVEFORMS. This not only provides delay times
  88.      for the circuit, but is also delivers an accurate representation for
  89.      transient effects like spikes and races.
  90.  
  91.   Apart from electrical network elements like MOS transistors, resistors
  92.   and capacitors, an SLS network may contain (i) gate primitives like
  93.   inverters, nands, nors, etc. and (ii) user-defined function blocks like
  94.   roms, shiftregisters, multipliers.  The behavior of function blocks is
  95.   described by the user in the C programming language: it is specified by
  96.   the user how the values of the output terminals and the state variables
  97.   are computed from the values of the input terminals and the state vari-
  98.   ables.
  99.  
  100.   For more information about SLS, see,
  101.  
  102.     "Switch-level timing simulation," P.M. Dewilde, A.J. van Genderen,
  103.     A.C. de Graaf, Proc. ICCAD 85 Conf., Santa Clara, Nov. 1985,
  104.     pp. 182-184
  105.  
  106.     "SLS: An Efficient Switch-Level Timing Simulator Using Min-Max Voltage
  107.     waveforms," A.J. van Genderen, Proc. VLSI 89 Conf., Munich, Aug. 1989,
  108.     pp. 79-88.
  109.  
  110.     "SLS: Switch-Level Simulator User's Manual," A.C. de Graaf, A.J. van
  111.     Genderen, Delft University of Technology (available for ftp at the
  112.     address below).
  113.  
  114.   Availability:
  115.  
  116.   SLS is written in C and runs under UNIX and X-windows.  It runs, among
  117.   other things, on Sun SPARC stations, HP 9000 series 700/800 machines, and
  118.   PCs running Linux.  The program is available for free under the terms of
  119.   the GNU General Public License.  It can be retrieved via anonymous ftp
  120.   from ftp://dutentb.et.tudelft.nl/pub/sls .
  121.  
  122.   It is also possible to obtain SLS as a part of the OCEAN system for the
  123.   design of Sea-Of-Gates circuits.  This system can be obtained from on
  124.   ftp://donau.et.tudelft.nl/pub/ocean .  The OCEAN system among other
  125.   things contains a layout-to-circuit extractor that can extract large lay-
  126.   outs and that stores the result directly in the database that is read by
  127.   SLS.  Furthermore, SLS is available as a tool in the Nelsis CAD framework
  128.   from the directory pub/nelsis on dutente.et.tudelft.nl.  The latest ver-
  129.   sion of SLS can always be found on dutentb.et.tudelft.nl .
  130.  
  131.   For questions, remarks and bug reports, contact
  132.  
  133.             Arjan van Genderen
  134.             Delft University of Technology
  135.             Department of Electrical Engineering
  136.             Mekelweg 4                          phone: 31-15-786258
  137.             2628 CD  Delft                      fax: 31-15-623271
  138.             The Netherlands                     email: arjan@dutentb.et.tudelft.nl
  139.  
  140.   54: OCEAN, a sea-of-gates design system
  141.  
  142.   (from Patrick Groeneveld <ocean@donau.et.tudelft.nl>)
  143.  
  144.           About OCEAN: the sea-of-gates design system
  145.           -------------------------------------------
  146.  
  147.   OCEAN is a comprehensive chip design package which was developed at Delft
  148.   University of Technology, the Netherlands. It includes a full set of
  149.   powerful tools for the synthesis and verification of semi-custom sea-of-
  150.   gates and gate-array chips.  OCEAN covers the back-end of the design tra-
  151.   jectory: from circuit level, down to layout and a working chip. In a nut-
  152.   shell, OCEAN has the following features:
  153.  
  154.           + Available for free, including all source code.
  155.           + Short learning curve making it suitable for student design courses.
  156.           + Hierarchical (full-custom-like) layout style on sea-of-gates.
  157.           + Powerful tools for placement, routing, simulation and extraction.
  158.           + Any combination of automatic and interactive manual layout.
  159.           + OCEAN can handle even the largest designs.
  160.           + Running on popular HP, Sun and 386/486 PC machines, easy
  161.             installation.
  162.           + Includes three sea-of-gates images with libraries and a
  163.             200,000 transistor sea-of-gates chip.
  164.           + Can be easily adapted to arbitrary images with any number of layers.
  165.           + Interface programs for other tools and systems (SIS, cadence, etc.)
  166.           + Robust and 'combat-proven', used by hundreds of people.
  167.  
  168.    How to retrieve OCEAN and additional documentation?
  169.    ---------------------------------------------------
  170.  
  171.   The entire OCEAN system is available for free via anonymous ftp, gopher
  172.   or on tape. A powerful installation script is included, so you can get
  173.   started very quickly without hacking up the code. You can retrieve OCEAN
  174.   and additional documentation via:
  175.  
  176.           anonymous ftp: <URL:ftp:donau.et.tudelft.nl:pub/ocean>
  177.           gopher:        olt.et.tudelft.nl (port 70) or use the path
  178.                          World --> Europe --> Netherlands -->
  179.                          Delft University of Technology Electronic Engineering
  180.                          --> Research activities -->
  181.                          The OCEAN sea-of-gates Design System
  182.  
  183.   We advise to retrieve first the documents with the user manual. (The file
  184.   'ocean_docs.tar.gz').  If you have any questions, remarks or problems,
  185.   just contact us:
  186.  
  187.           Patrick Groeneveld or Paul Stravers
  188.           Electronic Engineering Group, Electrical Engineering Faculty
  189.           Delft University of Technology
  190.           Mekelweg 4, 2628 CD   Delft  The Netherlands
  191.           Phone: +31-15786240  Fax: +31-15786190
  192.           Email: ocean@donau.et.tudelft.nl
  193.  
  194. 55: ALLIANCE, a CAD package and simulator for teaching digital VLSI design
  195.  
  196.   --- (from Frederic PETROT <fred@cao-vlsi.ibp.fr>)
  197.  
  198.           ******************************************************
  199.           *  ANNOUNCEMENT OF ALLIANCE RELEASE 3.0  May 10th 95 *
  200.           ******************************************************
  201.  
  202.           The release 3.0 of the public domain  ALLIANCE VLSI/CAD system is
  203.           now available at:
  204.  
  205.           ftp.ibp.fr      [132.227.60.2]        in /ibp/softs/masi/alliance
  206.  
  207.   CONTENT
  208.  
  209.   ALLIANCE is a complete set of CAD tools  and  portable  libraries for
  210.   research and education in digital VLSI design.  The ALLIANCE CAD  system
  211.   has been developed at the MASI laboratory (Universite Pierre et Marie
  212.   Curie, Paris France). It includes a VHDL compiler and simulator, logic
  213.   synthesis tools, automatic place and  route, DRC,  extractor,  functional
  214.   abstraction  and formal proof tools etc...  All the ALLIANCE cell
  215.   libraries  use  a  symbolic  layout approach in  order  to provide pro-
  216.   cess independence: Cmos process from 1.6 micron to 0.8 micron have been
  217.   successfully targetted.
  218.  
  219.   Several new  tools have been introduced into release 3.0, (...and several
  220.   bugs have been fixed)
  221.  
  222.   1) FPGA synthesis
  223.      The logic synthesis tool ALLIGATOR is dedicated to fast prototyp-
  224.      ing  on  XILINX  FPGAs.  The input description uses the same VHDL
  225.      subset as the ASIMUT VHDL simulator.
  226.  
  227.   2) Floor-plan router
  228.      The high performance floor-plan router CHEOPS, developped by BULL
  229.      is  part of this release. This toll uses the same symbolic layout
  230.      approach as all the ALLIANCE portable libraries. It as been  used
  231.      for  multi-millions  transistors  circuits.  Only the binary code
  232.      for SPARC is available.
  233.  
  234.   3) Timing analysis
  235.      The ALLIANCE design-flow separates functionnal verification  (us-
  236.      ing  zero  delay  VHDL  models) and the timing verification.  The
  237.      timing analyser TAS takes an extracted, transistor level net-list
  238.      (ALLIANCE  or  SPICE  format) as input, and provides all relevant
  239.      timing information.
  240.  
  241.   INSTALLATION
  242.  
  243.   ALLIANCE is totally free, under the terms of the GNU General Pub- lic
  244.   License.  It includes C source files and on-line English do- cumentation
  245.   (UNIX man)
  246.  
  247.   1) A hierarchical makefile allows each ALLIANCE tool to  be  com-
  248.      piled and  installed separately.  The disk  space  required to
  249.      compile  and  install  the full  ALLIANCE package is about 150
  250.      megs.
  251.  
  252.   2) The release 3.0 has been successfully compiled with K&R cc and
  253.      GNU gcc compilers. The full alliance package can  now  run  on
  254.      SPARC, LINUX and DEC architectures.
  255.  
  256.   TUTORIALS
  257.  
  258.   The release ALLIANCE 3.0 contains six separate tutorials:
  259.  
  260.   1/ ADDACCU
  261.      The  design  of a  very simple chip (adder/accumulator) to get
  262.      started with the ALLIANCE tools (about 500 transistors).
  263.  
  264.   2/ AMD2901
  265.      The design of the 4 bits AMD2901 processor, from the VHDL spe-
  266.      cification  to the  GDSII  layout, using the ALLIANCE portable
  267.      standard cell library (about 3000 transistors).
  268.  
  269.   3/ DLX
  270.      The  design of the 32 bits DLX microprocessor (HENNESSY & PAT-
  271.      TERSON) from the VHDL specification to the GDSII layout, using
  272.      the  ALLIANCE  data-path  compiler  and  logic synthesis tools
  273.      (about 30000 transistors).
  274.  
  275.   4/ FPGA
  276.      The synthesis of a simple circuit on Xilinx  FPGA (Field Prog-
  277.      rammable Gate Array). The produced cirucit uses 20 CLBs.
  278.  
  279.   5/ Synthesis Tools
  280.      Different  levels of synthesis  and optimization (Finite State
  281.      Machine synthesis, logic synthesis, logic and net-list optimi-
  282.      zations) are covered by this tutorial.
  283.  
  284.   6/ Data Path
  285.      Building simple data paths  using the data path compiler FPGEN
  286.      and the data path router DPR.
  287.  
  288. 56: ceBox EDIF Viewer and Schematic Generator
  289.  
  290.   <from comp.archives>
  291.  
  292.   A free demo version of the ceBox EDIF Viewer is now available from the
  293.   the following site:
  294.  
  295.           ftp://www.concept.de/nview
  296.  
  297.   you find the following files:
  298.  
  299.           README                             3k
  300.  
  301.           nlview-2.5-sun.tar.gz            856k   for SPARC SunOS4+5
  302.           nlview-2.5-hp.tar.gz            1168k   for HP-PA
  303.           nlview-2.5-win32.zip             536k   for Windows95+WindowsNT
  304.           doc-2.5-sun.tar.gz                88k   Documentation
  305.           doc-2.5-hp.tar.gz                 88k   Documentation
  306.           doc-2.5-win32.zip                 89k   Documentation
  307.  
  308.   The  *ceBox EDIF Viewer*  displays schematic pages and symbols of any
  309.   EDIF 200 (level 0) file. It is an easy-to-use tool to analyse EDIF
  310.   schematic and EDIF netlist files.
  311.  
  312.   The  *ceBox EDIF Kit*  is a programming library to bundle C++ user func-
  313.   tions to the Viewer and to build standalone EDIF processors.  The Kit's
  314.   in-core data base allows to access/modify all EDIF data.
  315.  
  316.   A free demo version of nlview (schematic generation and viewing tool) for
  317.   SPARCstation is available via anonymous ftp from:
  318.  
  319.           ftp://ftp.Germany.EU.net/shop/concept-engineering/nlview
  320.           [192.76.144.75]
  321.  
  322.   The tool reads EDIF 200 netlist files or structural Verilog files,
  323.   creates schematics and displays them on screen. Some extra functions are:
  324.  
  325.           +      cross-probing between schematic and ASCII file
  326.  
  327.           +      searching objects by name (using wild-cards)
  328.  
  329.           +      highlighting critical pathes (infos from separate file)
  330.  
  331.           +      writing EDIF 200 schematic and PostScript files
  332.  
  333.   For more information, please contact:
  334.  
  335.           Concept Engineering
  336.           Burkheimer Str. 10
  337.           D-79111 Freiburg, Germany
  338.  
  339.           Tel: ..49-761-473099
  340.           Fax: ..49-761-441063
  341.           email: info@concept.de
  342.  
  343. 57: Analog CMOS VLSI Design Educational Resource Kit
  344.  
  345.   (from MUG)
  346.  
  347.   UMass Dartmouth is pleased to announce the release of Version 1 of the
  348.   Analog CMOS VLSI Design Educational Resource Kit.  Version 1 of the
  349.   Resource Kit may be obtained via anonymous ftp at the site
  350.  
  351.           micron.ece.umassd.edu
  352.  
  353.   The release includes the following files and information:
  354.  
  355.   The CIF file for a 2 micron Mosis Tinychip using p-well technology; and
  356.   manuals containing five tutorials based on the chip set.
  357.  
  358.   These circuits were used in an undergraduate course on analog VLSI design
  359.   during the spring semester at the University of Massachusetts Dartmouth.
  360.   They are also being currently used in a graduate level course in analog
  361.   VLSI design.  The students in the undergraduate course had a single
  362.   introductory digital VLSI design course as background, and were familiar
  363.   with MAGIC, SPICE and CAzM, a SPICE-like circuit simulator.
  364.  
  365.   If you have any comments, corrections or suggestions regarding the
  366.   release, or ideas for other circuits that you have found useful in your
  367.   classes and that could be incorporated in later releases, please feel
  368.   free to contact me.  Good luck!
  369.  
  370.           Robert H. Caverly, Ph.D.
  371.           ECE Department
  372.           University of Massachusetts Dartmouth
  373.           N. Dartmouth, MA  02747
  374.           caverly@micron.ece.umassd.edu
  375.           (508) 999-8474
  376.  
  377. 58: TDX Fault Simulation and Test Generation Software
  378.  
  379.   (from Dan Holt <dan@attest.com>)
  380.  
  381.   TDX Fault Simulation and Test Generation Software
  382.  
  383.   Free demo/student copies of Attest Software's fault simulation, Iddq,
  384.   DFT, and automatic test pattern generation tools are available by
  385.   anonymous ftp.
  386.  
  387.   This software is fully functional on any circuit with less than 200
  388.   gate-level primitives. It is also fully functional on the GL85 micropro-
  389.   cessor circuit (about 3000 primitives) which is included with the suite
  390.   of tools. General-use licenses can be provided free to accredited univer-
  391.   sities for non-commercial, educational purposes.
  392.  
  393.   The software is built around a high-performance concurrent fault simula-
  394.   tor that is accurate on a wide-range of state and timing sensitive cir-
  395.   cuits. It supports synchronous and asynchronous designs containing logic
  396.   gates, MOS transistors, tri-state buffers, flip-flops, single/multi-port
  397.   RAMs, complex bus resolution functions, and Verilog User Defined Primi-
  398.   tives (UDPs).  The software also supports the detailed pin timing and
  399.   strobing features found on "tester-per-pin" automatic test equipment. The
  400.   software supports Verilog and VHDL netlists.
  401.  
  402.   The GL85 microprocessor, which is a clone of the once-popular 8085
  403.   microprocessor, is a fully functional model for which three views are
  404.   provided: behavioral, RTL, and gate level.  Using this clone, a tutorial
  405.   shows the user how to achieve improved controllability and/or observabil-
  406.   ity for his or her circuit, resulting in improved fault coverage, some-
  407.   times with very little additional time or effort expended in the design
  408.   cycle. The tutorial was written by Dr. Alex Miczo.
  409.  
  410.   The software is available by ftp at:
  411.  
  412.           <URL:ftp://ftp.attest.com/pub/attest>
  413.  
  414.   The README contains installation instructions, and identifies the loca-
  415.   tion of the GL85 models and the postscript tutorial. The web page is:
  416.  
  417.           <URL:http://www.attest.com/>
  418.  
  419.   For more information, please contact:
  420.  
  421.           Attest Software Inc.
  422.           47100 Bayside Parkway
  423.           Fremont CA 94538-9942  USA
  424.  
  425.           (510) 623-4253  voice
  426.           (510) 623-4550  fax
  427.  
  428.           info@attest.com
  429.  
  430. 59: Nascent Technologies CDROM - magic and spice releases for Linux
  431.  
  432.   The Linux from Nascent CDROM, Version 1.0, is only $39.95 plus shipping
  433.   and handling, and comes with an 30-day unconditional money-back guaran-
  434.   tee.  If you aren't completely satisfied, return the package with your
  435.   receipt within 30 days and the purchase price, excluding shipping and
  436.   handling, will be refunded to you.
  437.  
  438.   In addition, Nascent offers the Linux from Nascent Plus package for only
  439.   $89.95, which includeds six months of email support and a 30% discount
  440.   off a future release of the CDROM with your CDROM purchase.
  441.  
  442.           Nascent Technology
  443.           811 Haverhill Drive
  444.           Sunnyvale CA 94087 USA
  445.           Tel: (408) 737-9500
  446.           Fax: (408) 241-9390
  447.           Email: nascent@netcom.com
  448.  
  449.   Linux is a freely distributable Unix(R) compatible operating system for
  450.   the IBM(R) 386/486 PC and compatibles written by Linus Torvalds from the
  451.   University of Helsinki, Finland.  It was developed by a unique world-wide
  452.   collaboration of programmers over the internet, and is covered by the GNU
  453.   General Public License.  Linux is a modern, high performance network
  454.   operating system, much like ones used for years on engineering and pro-
  455.   fessional workstations.
  456.  
  457.   The Linux from Nascent CDROM is an entirely new distribution of the Linux
  458.   operating system, and includes over 400 mbytes of source code, binaries,
  459.   and documentation for Linux and applications.  The Linux from Nascent
  460.   distribution features:
  461.  
  462.           * 52 page User Guide
  463.           * automated root, swap, and package installation from CDROM
  464.           * simple user account and network administration scripts
  465.           * Linux 0.99.14 plus net-2 networking
  466.           * extensive online documentation and manuals
  467.           * network printer support
  468.           * X Window System(TM)
  469.           * OpenLook(TM) 3d window manager
  470.           * SCSI disk and tape support
  471.           * TeX(TM) and ghostscript word processor and viewer
  472.           * Ingres database management
  473.           * GNU C compiler and utilities
  474.           * GNU emacs, vi clone text editors
  475.           * sound and graphics support
  476.           * Over 100 high resolution images translated from Kodak PhotoCD(TM)
  477.           * magic and spice electronic design tools
  478.           * GNU Chess, Shogi, pooltable, xpilot, flight simulator, ...
  479.  
  480. 60: Time Crafter 1.0, a timing diagram documentation tool
  481.  
  482.   (from Rick Burgett <burgett@csips1.nrlssc.navy.mil>)
  483.  
  484.   I have uploaded to the SimTel Software Repository (available by anonymous
  485.   ftp from the primary mirror site
  486.   ftp://OAK.Oakland.Edu/pub/msdos/electric/timecrft.zip and its mirrors):
  487.   timecrft.zip    WIN3: Electronic ckt timing diagram generator
  488.  
  489.   Time Crafter Version 1.0 is a timing diagram documentation tool.  A tim-
  490.   ing diagram is used by electrical engineers and technicians to document
  491.   the way a circuit or system operates or should operate.  This type of
  492.   documentation is crucial to good design and debugging but up to now one
  493.   could only use paper and pencil (with a good eraser) or an expensive CAD
  494.   package costing $1000 or more to produce these diagrams on a PC.  Time
  495.   Crafter has features that make it easy to document and update a circuit
  496.   design of any complexity.
  497.  
  498.   Time Crafter is Microsoft Windows based to provide a simple yet powerful
  499.   user interface which is device independent.
  500.  
  501.   Special requirements: Windows 3.x
  502.  
  503.   61: ACS, a general purpose mixed analog and digital circuit simulator
  504.  
  505.   (from comp.lsi.cad)
  506.  
  507.   A new version of ACS (Al's Circuit Simulator) has been posted to
  508.   alt.sources.  It is also available by ftp from ftp://cs.rit.edu/pub/acs
  509.   or ftp://ee.rochester.edu/pub/acs .   If you don't have net access you
  510.   can get it by dial-up from (USA) 716-272-1645.
  511.  
  512.   ACS is a general purpose mixed analog and digital circuit simulator.  It
  513.   performs nonlinear dc and transient analyses, fourier analysis, and ac
  514.   analysis linearized at an operating point.  At this point the analog is
  515.   stronger than the digital.  (In fact, the digital part is rather weak.)
  516.   It is fully interactive and command driven.  It can also be run in batch
  517.   mode or as a server.  The output is produced as it simulates.  Spice com-
  518.   patible models for the MOSFET (level 1 and 2) and diode are included in
  519.   this release.
  520.  
  521.   This version (0.13) includes several improvements including real Fourier
  522.   analysis and better time step control based on truncation error.  There
  523.   are other minor improvements.
  524.  
  525.   Since it is fully interactive, it is possible to make changes and re-
  526.   simulate quickly.  The interactive design makes it well suited to the
  527.   typical iterative design process used it optimizing a circuit design.  It
  528.   is also well suited to undergraduate teaching where Spice in batch mode
  529.   can be quite intimidating.  This version, while still officially in beta
  530.   test, should be stable enough for basic undergraduate teaching and
  531.   courses in MOS design, but not for bipolar design.
  532.  
  533.   In batch mode it is mostly Spice compatible, so it is often possible to
  534.   use the same file for both ACS and Spice.
  535.  
  536.   The analog simulation is based on traditional nodal analysis with itera-
  537.   tion by Newton's method and LU decomposition.  An event queue and incre-
  538.   mental matrix update speed up the solution for large circuits.
  539.  
  540.   It also has digital devices for true mixed mode simulation.  The digital
  541.   devices may be implemented as either analog subcircuits or as true digi-
  542.   tal models.  The simulator will automatically determine which to use.
  543.   Networks of digital devices are simulated as digital, with no conversions
  544.   to analog between gates.  This results in digital circuits being simu-
  545.   lated faster than on a typical analog simulator, even with behavioral
  546.   models.  The digital mode is experimental and needs work.  There will be
  547.   substantial improvements in future releases.
  548.  
  549.   The source and documentation can be obtained by anonymous ftp from
  550.   ftp://ee.rochester.edu/pub/acs or ftp://cs.rit.edu/pub/acs .  It can also
  551.   be obtained by dial-up (USA) 716-272-1645 in /pub/acs.  It may be distri-
  552.   buted under the terms of the GNU general public license.  The dial-up
  553.   also has some test circuits, pre-compiled executables for Next, Sun4,
  554.   MSDOS and possibly others, and documentation in dvi and postscript.
  555.  
  556. 62: LOG/iC, a logic synthesis package for PLDs
  557.  
  558.   (from Ralph Remme <RR@ns.isdata.de>)
  559.  
  560.           LOG/iC EVAL
  561.           - - ISDATA GmbH Karlsruhe, Germany / ISDATA Inc. Oakland CA
  562.           - - FSM and logic synthesis for programmable logic devices
  563.           - - Several output formats: JEDEC, POF, HEX, EDIF, XNF, Open-PLA,
  564.               PALASM, ...
  565.           - - PLD data base as an electronic reference
  566.           - - PC Windows
  567.           - - free version of LOG/iC PLUS for educational and research use only
  568.           - - anonymous ftp: ftp://gate.fzi.de/pub/ISDATA (141.21.4.3)
  569.           - - email: isdata@isdata.de
  570.  
  571.           ISDATA GmbH                     ISDATA Inc.
  572.           Daimlerstrasse 51               P.O. Box 19278
  573.           D-76185 KARLSRUHE               Oakland, CA 94619
  574.           GERMANY                         U.S.A.
  575.           Phone:(+49) 721 75 10 87        Phone: (++1) 510 5318553
  576.           FAX:   (+49) 721 75 26 34       Fax:   (++1) 510 5318417
  577.           Mr. Peter Bauer                 Mr. Paul Hoy
  578.  
  579.   An evaluation copy of LOG/iC2 is available:
  580.  
  581.           LOG/iC2 EVAL
  582.           - ISDATA, Germany
  583.           - Logic synthesis and simulation for PLDs 16V8, 20V8 and 22V10 from all
  584.             manufacturers
  585.           - Input: Hierarchical entry supported by the graphical hierarchy
  586.             editor, high level description language, 74xx library,
  587.             macrogenerator
  588.           - Output: Programming file (JEDEC)
  589.           - includes the PLD data base, an electronic reference manual
  590.           - Functional simulator
  591.           - PC version for Win 3.1 and Win 95
  592.           - CD can be ordered free of charge at ISDATA via email: isdata@isdata.de
  593.  
  594.           The full version of LOG/iC2 supports CPLDs from nearly all vendors,
  595.           FPGAs from Xilinx and Actel, and all Simple PLDs.
  596.           It offers timing simulation and as an option VHDL entry.
  597.  
  598. 63: SIMLAB, a circuit simulation environment
  599.  
  600.   (from Bardo Muller <bardo@ief-paris-sud.fr>)
  601.  
  602.   Simlab is a circuit simulation environment consisting of a flexible,
  603.   user-friendly front-end operating in conjunction with a sophisticated and
  604.   versatile simulation engine.  The program is written in C and is specifi-
  605.   cally designed to be used as an educational tool and as a research plat-
  606.   form. Simlab can be operated in either batch or interactive mode. An
  607.   optimized version for the Connection Machine (cmvsim) is available.
  608.  
  609.   The user is allowed to separately specify algorithms for the various
  610.   aspects of the simulation. These include:
  611.  
  612.           Simulation environment (e.g. serial or parallel depending on
  613.                the underlying hardware).
  614.           ODE system solution (e.g. point)
  615.           ODE system time integration (e.g. backward-Euler, trapezoidal,
  616.                second-order Gear),
  617.           Nonlinear algebraic system solution (e.g. multidimensional
  618.                Newton's method, nonlinear relaxation),
  619.           Linear system solution (e.g. sparse Gaussian
  620.                elimination, Gauss-Jacobi relaxation, conjugate gradient,
  621.                   conjugate gradient squared),
  622.  
  623.   Furthermore, simlab has a notion of simulation mode and different methods
  624.   can be specified for different modes. At present, supported modes are DC
  625.   for the calculation of operating points, and Transient for the calcula-
  626.   tion of the time response of a circuit. For instance, assuming that the
  627.   user has specified the multidimensional Newton's method for solving the
  628.   nonlinear system of equations, the linear solver associated could be dif-
  629.   ferent depending of what type of simulation is being performed.
  630.  
  631.   In its basic form, simlab is a powerful circuit simulator, but it is also
  632.   designed to be easily customized for research purposes. For example, sim-
  633.   lab forms the core of special-purpose simulation programs, such as a
  634.   switched capacitor filter simulator and a simulator for vision circuits.
  635.   The program code is highly modular, so that researchers can easily con-
  636.   struct and test algorithms by inserting them into the existing simlab
  637.   framework.
  638.  
  639.   Simlab can be obtained from ftp://rle-vlsi.mit.edu/pub/simlab. Question
  640.   or problems related to the installation or usage of the simlab circuit
  641.   simulator should be addressed to simlab@rle-vlsi.mit.edu (18.62.0.214).
  642.   Any bugs should be reported to simlab-bug@rle-vlsi.mit.edu .
  643.  
  644. 64: Pcb, an X-based PC board design tool
  645.  
  646.   (from comp.windows.x.apps)
  647.  
  648.   Pcb is a handy tool for the X Window System build to design printed cir-
  649.   cuit boards. It supports multiple layers and circuit libraries with a
  650.   resolution of 0.001 inch.  Refer to the manual for more details.
  651.  
  652.   The new feature are:
  653.  
  654.             - user interface has been 'cleaned up'
  655.             - number of key strokes have been reduced by menues
  656.             - encapsulated PostScript is now supported
  657.             - all deleted objects can be recovered
  658.             - most of the operations can also work with 'selected' objects
  659.             - some circuits and packages are included
  660.             - fileselect boxes with user defined commands and preset directories
  661.               make a flexible user interface
  662.             - the position of element names is now changeable. Both names of an
  663.               element are changeable
  664.             - grid settings are either absolute (to 0,0) or relative to the
  665.               position where it has changed
  666.             - messages and stderr of external commands can be redirected to a
  667.               log window
  668.  
  669.             - *** a special goodie: ***
  670.               a functional demo layout with a Motorola 68HC11 microcontroller
  671.               and LCD display
  672.  
  673.           ftp servers (ftp.funet.fi thanks to Matti Aarnio):
  674.               ftp://ftp.medizin.uni-ulm.de/pub/pcb-1.2
  675.               ftp.funet.fi:/pub/???
  676.  
  677.   Please have a look at the README files before getting the preformated
  678.   documentation.
  679.  
  680.           There is also a mailing list to share knowledge, libraries and other
  681.           information (without too much traffic right now):
  682.             pcb@pluto.medizin.uni-ulm.de           to reach all members
  683.             pcb-request@pluto.medizin.uni-ulm.de   to subscribe or unsubscribe
  684.             owner-pcb@pluto.medizin.uni-ulm.de     for problems with the list
  685.             Thomas.Nau@medizin.uni-ulm.de          to reach the author only
  686.  
  687. 65: SPICE-PAC, A Modular Spice Simulator with Enhancements
  688.  
  689.   (from Bardo Muller <bardo.muller@ief-paris-sud.fr>)
  690.  
  691.   SPICE-PAC  -  A Modular Spice Simulator with Enhancements
  692.  
  693.           Author:  W.M. Zuberek
  694.                    Computer Science Department
  695.                    Memorial University of Newfoundland
  696.                    St. John's, Nfld, Canada A1C-5S7
  697.                    tel. (709) 737-4701 or 737-8627
  698.                    fax: (709) 737-2009
  699.  
  700.   SPICE-PAC is a mature simulation package that is, with only a few minor
  701.   exceptions, upward compatible with the popular SPICE-2G circuit simulator
  702.   but provides a number of extensions.
  703.  
  704.   SPICE-PAC allows the construction of interactive applications in which
  705.   circuit simulation can be combined with different optimization methods,
  706.   statistical analysis, symbolic simulation. High-level (behavioral) simu-
  707.   lation is possible by user-defined functions and tables.
  708.  
  709.   The SPICE-PAC Fortran/C-source (version 94.08) can be found in the direc-
  710.   tory ftp://ftp.cs.mun.ca/pub/sppac
  711.  
  712. 66: U.C. Berkeley Low-Power Cell Library
  713.  
  714.   (from Tom Burd <burd@eecs.berkeley.edu>)
  715.  
  716.           **********************************************************************
  717.  
  718.           ======================================================================
  719.                                 U.C. Berkeley Low-Power Cell Library
  720.           ======================================================================
  721.             FOR CONDITIONS OF USE, PLEASE READ THE ACCOMPANYING COPYRIGHT FILE
  722.  
  723.                                           Overview:
  724.                                           --------
  725.  
  726.   This Library is based on the Mosis (<URL:http://www.mosis.edu>) SCMOS
  727.   Design Rules and has been implemented via the Magic 6 layout editor. The
  728.   sdl files and oct facets provided allow the Library to be used within the
  729.   LagerIV silicon compilation system
  730.   (<URL:ftp://infopad.eecs.berkeley.edu/pub/lager>). Also, symbols,
  731.   schematics, and vhdl files are provided for using the library within the
  732.   Powerview (Trademark of Viewlogic Systems, Inc.) design environment. The
  733.   documentation at present is available in postscript form as well as in
  734.   FrameMaker 4 (Trademark of Frame Technology Corp.) format.  These are
  735.   denoted as .ps and .doc files.
  736.  
  737.   This library has been used in the development of over a dozen chips here
  738.   at U.C. Berkeley as of Dec. 1994, so it has been through several rounds
  739.   of beta testing already.
  740.  
  741.   Since the library is naturally partioned by the type of cell, I have set
  742.   up separate distributions for each partition:
  743.  
  744.           1. TimLagerlp          Array tiled cells. (e.g. sram, fifo, etc.)
  745.           2. dpplp               Bitsliced cells for datapath construction.
  746.           3. stdcell2_3lp        Standard Cell Library.
  747.           4. pads1_0clp          1.0um pads.
  748.           5. pads1_2clp          1.2um pads.
  749.  
  750.   Updates to the Library will be by the above partitions, such that each
  751.   partition will have an associated version number.
  752.  
  753.   PLEASE SEND BUG-REPORTS TO burd@eecs.berkeley.edu AND PREFIX THE SUBJECT
  754.   LINE WITH "LPLIB BUG:" FOR EASIER ACCOUNTING.
  755.  
  756.   PLEASE DO NOT DIRECT INQUIRES REGARDING HOW TO USE LAGERIV,
  757.   POWERVIEW(TM), OR FRAMEMAKER(TM) TO MYSELF, BUT RATHER TO AN APPROPRIATE
  758.   NEWS GROUP DISCUSSION.
  759.  
  760.           ======================================================================
  761.                                         Installation:
  762.                                         -------------
  763.  
  764.           1. Untar the desired partitions in an installation directory (denoted
  765.              as LPLIB)
  766.  
  767.           2. To use with LagerIV, I have also included a "lager" file here to
  768.              be used, that will function properly if the LPLIB environment
  769.              variable is set.
  770.  
  771.           ======================================================================
  772.                                         Documentation:
  773.                                         -------------
  774.  
  775.   1. Documentation is provided within each library.  Not all docs, mainly
  776.     the timing, may be completed.  However, all schematics and required
  777.     parameters are given/described.  The timing characterizations that
  778.     are done are for either MOSIS's 1.2um (HP) run (TimLagerlp, pads1_2clp,
  779.     stdcell2_3lp), or the same process but with shifted VT's (dpplp).
  780.     This was achieved by shifting the flat-band voltage, and used purely
  781.     for research and not fabrication/testing purposes. The MOSIS 1.0um
  782.     (HP's "0.8um" process, but really, lambda=0.5) parameters were used
  783.     for the pads1_0clp library. The process parameters used is noted in
  784.     the docs.
  785.  
  786.   2. Spice Files: I have included here the 1.2um and 1.0um spice files used
  787.   for
  788.     the timing.  All delays are measured 50%-50%. The BSIM models
  789.     for used and simulated with HSPICE (Trademark Meta Software).
  790.  
  791.   3. You can also refer to my thesis for further overview of the design
  792.     choices made, and an overview of the Library:
  793.  
  794.                   <URL:http://infopad.eecs.berkeley.edu/~burd/gpp/gpp.html#masters>
  795.                   <URL:ftp://infopad.eecs.berkeley.edu/pub/burd/masters.ps>
  796.  
  797. 67: The Substrate Resistance Extractor SUBSPACE
  798.  
  799.   (from arjan@cas.et.tudelft.nl (Arjan van Genderen))
  800.  
  801.   We have made available for anonymous FTP a program for computing sub-
  802.   strate resistances, called SUBSPACE.  The program is based on the paper
  803.   "Extraction of Circuit Models for Substrate Cross-Talk", by T.  Smedes,
  804.   N.P. van der Meijs and A.J. van Genderen, Proceedings ICCAD 1995.
  805.  
  806.   The program uses as input a geometrical description of a set of contacts
  807.   defined on top of a semi-conducting substrate.  This input is generated
  808.   using an X-window based graphical layout editor.  The properties of the
  809.   substrate and the parameters for the boundary-element method that is used
  810.   to compute the substrate resistances, are specified in a parameter file.
  811.   Effects of chip side-walls can also be included.  The output consists of
  812.   a SPICE resistance network.
  813.  
  814.   SUBSPACE is a special version of the layout-to-circuit extractor SPACE;
  815.   everything that SUBSPACE can, SPACE can do too.  Moreover, SPACE can
  816.   simultaneously extract MOS and bipolar transistors, RC models and 3D
  817.   capacitances.  Actually, the only special thing about SUBSPACE are the
  818.   technology files and a pre-configured set of options.  The full version
  819.   of SPACE will be released in several weeks.
  820.  
  821.   The program SUBSPACE is available in executable form, including documen-
  822.   tation, for HP 700/800 computers and Sun Sparc stations.  It can be
  823.   obtained via anonymous FTP from
  824.   ftp://dutentb.et.tudelft.nl/pub/space/subspace, or via the WWW address
  825.   specified below.
  826.  
  827.   For more information, see our WWW page:
  828.       http://dutentb.et.tudelft.nl/research/space.html
  829.  
  830.   A tutorial is found at
  831.       http://dutentb.et.tudelft.nl/research/subspace.html
  832.  
  833. 68: XRLCAD, A C++ library for manipulating Calma (GDS) and CIF libraries
  834.  
  835.   (from Mumit Khan <khan@xraylith.wisc.edu>)
  836.  
  837.   XRLCAD -- CXrL CAD toolset
  838.  
  839.   This package contains a C++ class library to manipulate Structure (as in
  840.   Calma/CIF) hierarchies. There is also loaders for CIF and Calma, as well
  841.   as output drivers for these formats. A bunch of demo programs are
  842.   included which I wrote when I was testing the library, and these programs
  843.   turned out be quite useful tools.
  844.  
  845.   The library is still in its infancy, but it's reasonably solid; in a few
  846.   months I'll take another look at it and probably overhaul it.
  847.  
  848.           Core directory organization: relative to $TOPDIR
  849.  
  850.               ./xrutils - standard stuff (lists, stacks, hash tables, strings)
  851.               ./xrcad   - C++ class libraries for manipulating cell libraries
  852.                           and the embedded structure hierarchy
  853.               ./calma   - input/output drivers for Calma/GDS
  854.               ./cif     - input/output drivers for CIF
  855.               ./technology - very incomplete. Started to see if I could do what
  856.                              Magic does with CIF layers <--> GDS layer ids.
  857.  
  858.           Demo programs: relative to $TOPDIR
  859.  
  860.               ./gdsflatten - flatten a GDS file
  861.               ./gdsxtract - extract cell hierarchy (and specific layers)
  862.               ./gdshier - show hierarchy
  863.               ./gdsinfo - useful info (hierarchy, bounding box)
  864.               ./cifflatten - flatten a CIF file (and extract specific layers)
  865.               ./cif2gds -
  866.               ./gds2cif -
  867.               ./biaser - mask compensation program
  868.               ./gdsclip - clip and extract an area of a layout
  869.  
  870.           I've successfully built a recent snapshot on the following platforms:
  871.  
  872.               sparc-sunos-4.1.3: SC2.0.1, Cfront-3.0.1, GCC-2.6.3
  873.               sparc-sunos-5: SC2.0.1, GCC-2.6.3
  874.               rs6000-aix-3.2: Cfront-3.0.1, GCC-2.6.3
  875.               decstation-ultrix4.2: Cfront-3.0.1, GCC-2.6.3
  876.                                     (and I think it worked!)
  877.  
  878.   If you use this package, please do send an email to
  879.   <khan@xraylith.wisc.edu> so I can send you info as new enhancements and
  880.   releases become available.
  881.  
  882.           Further information is available at
  883.  
  884.           <URL:http://www.xraylith.wisc.edu/~khan/software/xrlcad/xrlcad.html>
  885.  
  886.           %-----------------------------------------------------------------%
  887.           Mumit Khan                        khan@xraylith.wisc.edu
  888.           Research Staff                    Phone: +1 608 265 6075
  889.           Center for X-ray Lithography      FAX:   +1 608 265 3811
  890.           University of Wisconsin-Madison   http://www.xraylith.wisc.edu/~khan/
  891.           %-----------------------------------------------------------------%
  892.  
  893. 69: SAVANT, an Analyzer of VHDL Applications for Next-Generation Technology
  894.  
  895.   (From jpaul@el.wpafb.af.mil (Paul Jarusiewic Jr.))
  896.  
  897.   Extracted from <URL:http://www.ece.uc.edu~paw/quest>:
  898.  
  899.   The primary goal of SAVANT (Standard Analyzer of VHDL Applications for
  900.   Next-Generation Technology) is to stimulate research among the VHDL com-
  901.   munity by providing an extensible, object-oriented, well-documented
  902.   intermediate form (IF) and a freely available analyzer to convert VHDL
  903.   into the IF. Because the IF analyzer is released in source form, the
  904.   additional derived classes can be inserted into the C++ class hierarchy.
  905.   Thus, user actions can benefit fully from the fact that the IF is
  906.   object-oriented. Consequently, no procedural interface is provided or
  907.   needed.
  908.  
  909. 70: Protel Demos for Windows
  910.  
  911.   Demos of Advanced Schematic 2.3 for Windows, Advanced PCB 2.5 for Windows
  912.   and Protel-Easytrax (DOS Freeware) are available for download from
  913.   www.protel.com, or ftp.protel.com.
  914.  
  915.           Advanced PCB 2.5 Disk 1 in zip form
  916.           Advanced PCB 2.5 Disk 2 in zip form
  917.  
  918.           Advanced Schematic 2.3 Disk 1 in zip form
  919.           Advanced Schematic 2.3 Disk 2 in zip form
  920.  
  921.           Easytrax (DOS Freeware) in zip form (this is a fully-working program)
  922.  
  923.           Autotrax Demo (DOS) in zip form
  924.  
  925.           Special Limited Edition Demo Pack
  926.  
  927.   Complete the Request form and our Sales department will send qualified
  928.   applicants the current Limited Edition Protel Design System demo package.
  929.   This demo pack features the special Limited Edition of Advanced PCB. The
  930.   Limited Edition version allows you to create and save actual PCB layouts
  931.   with up to 20 components and 200 connections. This special version also
  932.   includes a descriptive tutorial booklet which discusses features of these
  933.   tools. Because these tools are very easy to use, many users will be able
  934.   to demo the products with the aid of the packages' comprehensive On-line
  935.   Help systems.
  936.  
  937.   Note: If the desired service is not currently available, please e-mail
  938.   your request to salesusa@protel.com or seek assistance from any of the
  939.   available Protel contacts in your country.
  940.  
  941. 71: BPECS PCB Software
  942.  
  943.   (from bstproto@connectnet.com <Steve Rabin>)
  944.  
  945.   Our new PCB Software BPECS version 1.06 features:
  946.  
  947.             Automatic path from schematics to placement to layout
  948.             Improved autorouter
  949.             Postscript AND Gerber output
  950.             Camera ready art from Windows print device
  951.             Pseudo-Teardrop pads for reduced trace breakage
  952.             Design your own SMD packages w. mixed coordinates
  953.             FREE 30 day evaluation - all features availible
  954.             FREE upgrades and accessories from our web-site
  955.             Low $295 price
  956.             1 year limited warranty
  957.  
  958.   Visit our website to download your copy.
  959.  
  960.           ------------------------------------------------------------
  961.               Best Proto (TM) * http://www.bestproto.com/ftpsite
  962.             Prototyping Boards * CAD Software * Engineering Services
  963.           Box 232440, San Diego, CA 92193-2440 * (619) 286-9000 ph/fax
  964.           ------------------------------------------------------------
  965.  
  966. 72: RF, an RF Circuit Simulation Tool
  967.  
  968.   (from Academic Technologies <academic@onthenet.com.au>)
  969.  
  970.   Analog and RF Circuit Simulation and Tool for Engineers, Radio Amateurs,
  971.   Hobby and Students or anyone interested in linear circuit analysis and
  972.   design.
  973.  
  974.   RF has been written as a design aid for the radio amateur, hobbyist, stu-
  975.   dent or practicing engineer. The primary aim is as tool for radio fre-
  976.   quency design, however the program is suitable for use in any analog
  977.   design. Pulse response and DC circuits are encompassed in this version
  978.   however it is not intended as a replacement or alternative to programs
  979.   such as SPICE rather as an addition to the existing tools available. It
  980.   is particularly aimed at high frequency small signal design using S
  981.   parameters.
  982.  
  983.   RF runs under Windows 3.1, Windows 95 and Windows NT
  984.  
  985.