home *** CD-ROM | disk | FTP | other *** search
/ ftp.pasteur.org/FAQ/ / ftp-pasteur-org-FAQ.zip / FAQ / lsi-cad-faq / part2 < prev    next >
Internet Message Format  |  1997-01-10  |  42KB

  1. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!news.mathworks.com!uunet!in1.uu.net!204.191.160.4!van-bc!news.mindlink.net!nntp.portal.ca!news.bc.net!info.ucla.edu!nnrp.info.ucla.edu!news.ucdavis.edu!altarrib!monk
  2. From: altarrib@monk.ece.ucdavis.edu (Michael Altarriba)
  3. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 2/4) [LONG]
  5. Supersedes: <lsi-cad-faq/part2_849196414@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Date: 10 Jan 1997 00:53:30 GMT
  8. Organization: Department of Electrical and Computer Engineering, UC Davis
  9. Lines: 970
  10. Approved: news-answers-request@MIT.Edu
  11. Distribution: world
  12. Message-ID: <lsi-cad-faq/part2_852857606@bird.ece.ucdavis.edu>
  13. References: <lsi-cad-faq/part1_852857606@bird.ece.ucdavis.edu>
  14. Reply-To: clcfaq@ece.ucdavis.edu
  15. NNTP-Posting-Host: monk.ece.ucdavis.edu
  16. Summary: This is a biweekly posting of frequently asked questions with answers 
  17.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  18.          before posting questions to comp.lsi or comp.lsi.cad.
  19. Keywords: FAQ
  20. Xref: senator-bedfellow.mit.edu comp.lsi:7661 comp.lsi.cad:8483 news.answers:91630 comp.answers:23576
  21.  
  22. Archive-name: lsi-cad-faq/part2
  23. Posting-Freqency: every 14 days
  24. Url: http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html
  25.  
  26.   CADDY
  27.   - Karlsruhe University, Germany
  28.   - behavioral synthesis using VHDL as the input/output language, based on
  29.     data-flow analysis; automated component selection (allocation), scheduling,
  30.     and assignment. Different architechture styles are supported, such as
  31.     multiplexers vs busses and two-phase vs single phase clocks.
  32.   - Camposano, R.: "Synthesing Circuits From Behavioral Descriptions", IEEE
  33.     Transactions on Computer-Aided Design, Vol. 8, No. 2, February 1989
  34.     Rosenstiel, W., Kraemer, H.: "Scheduling and Assignment in High-Level
  35.     Synthesis", in 'High-Level VLSI-Synthesis' R. Camposano, W. Wolf Ed.
  36.     Kluwer, 1991
  37.     Gutberlet P., Mueller J., Kraemer H., Rosenstiel W.: "Automatic Module
  38.     Allocation in High-level Synthesis", Proc. of 1st EURO-DAC, 1992
  39.  
  40.   CALLAS
  41.   - Siemens, Germany
  42.   - highlevel, algortihmic and logic synthesis (contains CADDY, see
  43.     above)
  44.   - Koster, M. et al.: "ASIC Design Using the High-Level Synthesis
  45.     System CALLAS: A Case Study", Proc. IEEE International Conference on
  46.     Computer Design (ICCD '90), pp. 141-146, Cambridge, Massachusetts,
  47.     Sept. 17-19, 1990
  48.  
  49.   CAMAD
  50.   - Linkoping University, Sweden
  51.   - scheduling, data path allocation and iteration from a Pascal subset
  52.   - Peng, Z.: "CAMAD: A Unified Data Path/ Control Synthesis
  53.     Environment", Proc. of the IFIP Working Conference on Design
  54.     Methodologies for VLSI and Computer Architecture, pp. 53-67, Sept.
  55.     1988.
  56.  
  57.   CARLOS
  58.   - Karlsruhe University, Germany
  59.   - multilevel logic optimization for CMOS realizations
  60.   - Mathony, H-J.: "CARLOS: An Automated Multilevel Logic Design System for
  61.     CMOS Semi-Custom Integrated Circuits", IEEE Transactions on Computer-Aided
  62.     Design, Vol 7, No 3, pp. 346-355, March 1988
  63.  
  64.   CATHEDRAL
  65.   - Univ. of Leuve, Phillips and Siemens, Belgium
  66.   - synthesis of DSP-circuits from algorithm descriptions
  67.   - De Man, H.: "Architecture-Driven Synthesis Techiques for VLSI Implementation
  68.     of DSP Algorithms", Proceedings of the IEEE, Vol. 78, NO. 2, pp. 319,
  69.     February 1990
  70.  
  71.   CATREE
  72.   - Univ. of Waterloo, Canada
  73.   - scheduling and data path allocation
  74.   - Gebotys, C.H.: "VLSI Design Synthesis with Testability", Proc. of
  75.     the 25th DAC, pp. 16-21, June 1988
  76.  
  77.   CHARM
  78.   - AT & T Bell Labs., USA
  79.   - data-path synthesis
  80.   - Woo, N-S.: "A Global, Dynamic Register Allocation and Binding for a
  81.     Data Path Synthesis System", Proc. of the 27th DAC, pp. 505-510, June 1990.
  82.  
  83.   CMU-DA (2)
  84.   - Carnagie-Mellon University, USA
  85.   - behavioral synthesis from ISPS
  86.   - Thomas, D.: "Linking the Behavioral and Structural Domains of Representation
  87.     for Digital System Design", IEEE Transactions on Computer-Aided Design, pp.
  88.     103-110, Vol. 6, No. 1, January 1987
  89.  
  90.   CONES
  91.   - AT & T Bell Labs, USA
  92.   - FSM synthesis, produces 2-level logic realizations (truth-table)
  93.   - Stroud, C.E.: "CONES: A System for Automated Synthesis of VLSI and
  94.     programmable logic from behavioral models", Proc. of IEEE ICCAD, Santa Clara,
  95.     Nov. 1986.
  96.  
  97.   DAGAR
  98.   - University of Texas, Austin, USA.
  99.   - scheduling and data-path allocation
  100.   - Raj. V.K.: "DAGAR: An Automatic Pipelined Microarchitecture
  101.     Synthesis System", Proc. of ICCD '89, pp. 428-431, October 1989.
  102.  
  103.   DELHI
  104.   - IIT
  105.   - design iteration, scheduling and data path allocation
  106.   - Balakrishnan, M. et al.: "Integrated Scheduling and Binding: A
  107.     Synthesis Approach for Design Space Exploration", Proc. of the 26th
  108.     DAC, pp. 68-74, June 1989
  109.  
  110.   DESIGN AUTOMATION ASSISTANT (DAA)
  111.   - AT & T Bell Labs, USA
  112.   - expert system for data path synthesis
  113.   - Kowalski, T.J. "The VLSI Desig Automation Assistant: An Architecture
  114.     Compiler", Silicon Compilation, pp. 122-152, Addison-Wesley, 1988
  115.  
  116.   ELF
  117.   - Carleton University, Canada
  118.   - scheduling and data path allocation
  119.   - Girczyc, E.F. et al.: "Applicability of a Subset of Ada as an
  120.     Algorithmic Hardware Description Language for Graph-Based Hardware
  121.     Compilation", IEEE Trans. on CAD, pp. 134-142, April 1985.
  122.  
  123.   EUCLID
  124.   - Eindhoven University of Technology, Netherlands
  125.   - logic synthesis
  126.   - Berkelaar, Michel R.C.M. and Theeuwen, J.F.M., "Real Area-Powe-Delay
  127.     Trade-off in the EUCLID Logic Synthesis System" , proceedings of the Custom
  128.     Integrated Circuits Conference 1990, Boston MA USA, pp 14.3.1 ff
  129.  
  130.   EXLOG
  131.   - NEC Corporation, Japan
  132.   - expert system, synthesizes gate level circuits from FDL descriptions
  133.   - M. Watanabe, et al.,: "EXLOG: An Expert System for Logic Synthesis in
  134.     Full-Custom VLSI Design", Proc. of 2nd Int. Conf. Application of Artificial
  135.     Intelligence, August 1987.
  136.  
  137.   FACE/PISYN
  138.   - General Electric, USA
  139.   - FACE: high-level synthesis tools and a tool framework, PISYN:
  140.     synthesis of pipelined architecture DSP systems (mostly)
  141.   - Smith, W.D. et al.: "FACE Core Environment: The Model and it's
  142.     Application in CAE/CAD Tool Development", Proc. of the 26th DAC, pp.
  143.     466-471, June 1989.
  144.  
  145.   FLAMEL
  146.   - Stanford University, USA
  147.   - data path and control-logic synthesis from Pascal description
  148.   - Trickey, H. "Flamel: A High-Level Hardware Compiler", IEEE Transactions
  149.     on Computer-Aided Design, Vol 6, No 2, March 1987.
  150.  
  151.   HAL
  152.   - Carleton University, Canada
  153.   - data path synthesis
  154.   - Paulin, P.: "Force-Directed Scheduling for the Behavioral Synthesis of
  155.     ASIC's", IEEE Transaction on Computer-Aided Design, pp. 661,
  156.     Vol. 8, No. 6, June 1989.
  157.  
  158.   HARP
  159.   - NTT, Japan
  160.   - scheduling and data path-allocation from FORTRAN
  161.   - Tanaka, T. et al.: "HARP: Fortran to Silicon", IEEE Trans. on CAD,
  162.     pp. 649-660, June 1989.
  163.  
  164.   HYPER
  165.   - UCB, USA
  166.   - synthesis for realtime applications (scheduling, allocation, module
  167.     binding, controller design)
  168.   - Chu, C-M. et al.: "HYPER: An Interactive Synthesis Environment for
  169.     Real Time Applications", Proc. of ICCD '89, pp. 432-435, October 1989
  170.  
  171.   IMBSL/RLEXT
  172.   - Univ. of Illinois, USA
  173.   - data-path allocation, RTL-level design
  174.   - Knapp D.W.: "Manual Rescheduling and Incremental Repair of Register
  175.     Level Data Paths", Proc. of ICCAD '89, pp.58-61, November 1989.
  176.  
  177.   LSS (Logic Synthesis System)
  178.   - IBM, USA
  179.   - logic synthesis and optimization from many RTL-languages
  180.   - Darringer, J. et al. "LSS: A System for Production Logic Synthesis",
  181.     IBM Journal of Research and Developement, vol. 28, No. 5, pp. 272-280,
  182.     Sept 1984.
  183.  
  184.   MAHA
  185.   - University of Southern California, USA
  186.   - data path synthesis
  187.   - Parker, A.C. "MAHA: A Program for Data Path Synthesis", Proc. 23rd ACM/IEEE
  188.     Design Automation Conference, pp. 252-258, IEEE 1986.
  189.  
  190.   MIMOLA
  191.   - University of Dortmund, Germany
  192.   - scheduling, data-path allocation and controller design
  193.   - Marwedel, P. "Matching System And Component Behavior in MIMOLA
  194.     Synthesis Tools", Proc. of EDAC '90, pp. 146-156, March 1990.
  195.  
  196.   OLYMPUS/HERCULES
  197.   - Stanford University, USA
  198.   - behavioral synthesis from C-language (HERCULES), logic and physical
  199.     synthesis
  200.   - De Micheli, G.: "HERCULES - A System for High-Level Synthesis", Proceedings
  201.     of the 25th ACM/IEEE Design Automation Conference, pp. 483-488, IEEE 1988
  202.  
  203.   SEHWA
  204.   - University of Southern California, USA
  205.   - pipeline-realizations from behavioral descriptions
  206.   - Park, N. "SEWHA: A Program for Synthesis of Pipelines", Proc. 23rd ACM/IEEE
  207.     Design Automation Conference, pp. 454-460, IEEE 1986.
  208.  
  209.   SIEMENS' SYNTHESIS SYSTEM
  210.   - Siemens, Germany
  211.   - partitioning, data path allocation and scheduling
  212.   - Scheichenzuber, J. et al.: "Global Hardware Synthesis from
  213.     Behavioral Dataflow Descriptions", Proc. of the 27th DAC, pp. 456-461,
  214.     June 1990.
  215.  
  216.   SIS (formerly MIS (II/MV))
  217.   - University of California, Berkeley, USA
  218.   - synthesis and verification system for sequential logic
  219.   - E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai,
  220.     A. Saldanha, H. Savoj, P. R. Stephan, R. K. Brayton,
  221.     A. Sangiovanni-Vincentelli: "SIS: A System for Sequential Circuit
  222.     Synthesis", Tech report UCB/ERL M92/41, University of California,
  223.     Berkeley, CA, May 1992
  224.  
  225.   SOCRATES
  226.   - General Electric, University of Colorado, USA
  227.   - expert system
  228.   - logic optimization and mapping for different technologies
  229.   - de Geus, A.J., "The Socrates Logic Synthesis and Optimization System",
  230.     Design Systems for VLSI Circuits, pp. 473-498, Martinus Nijhoff Publishers,
  231.     1987.
  232.  
  233.   SPAID
  234.   - Universty of Waterloo, Canada
  235.   - DSP-synthesis for silicon compiler realizations
  236.   - Haroun, B.: "Architectural Synthesis for DSP Silicon Compilers", IEEE
  237.     Transactions on Computer-Aided Design, pp. 431-447, Vol. 8, No 4, April 1989.
  238.  
  239.   SYNFUL
  240.   - Bell-Northern Research, Canada
  241.   - RTL and FSM synthesis for a production environment
  242.   - G. Ward, "Logic Synthesis at BNR: A SYNFUL Story", Proceedings
  243.     Canadian Conference on Very Large Scale Integration, October 1990.
  244.  
  245.   SYSTEM ARCHITECT'S WORKBENCH
  246.   - Carnagie-Mellon University, USA
  247.   - behavioral synthesis
  248.   - Thomas, D. "The System Architect's Workbench", Proceedings of the 25th
  249.     ACM/IEEE Design Automation Conference, pp. 337-343, IEEE 1988
  250.  
  251.   UCB'S SYNTHESIS SYSTEM
  252.   - UCB, USA
  253.   - transformations, scheduling and data path allocation
  254.   - Devadas, S.: "Algorithms for Hardware Allocation in Data Path
  255.     Synthesis", IEEE Trans. on CAD, pp. 768-781, July 89
  256.  
  257.   V COMPILER
  258.   - IBM, USA
  259.   - scheduling and data path allocation from V-language
  260.   - Berstis, V: "The V Compiler: Automatic Hardware Design", IEEE Design
  261.     and Test, pp. 8-17, April 1989.
  262.  
  263.   VSS
  264.   - Univ. of California at Irvine, USA
  265.   - transformations, scheduling and data path allocation from VHDL to
  266.     MILO
  267.   - Lis, J. et al.: "Synthesis from VHDL", Proc. ICCD'88, pp. 378-381,
  268.     October 1988.
  269.  
  270.   YORKTOWN SILICON COMPILER
  271.   - IBM T.J.Watson Research Centre, USA
  272.   - data path synthesis, logic synthesis etc.
  273.   - Brayton, R.K., et al. "The Yorktown Silicon Compiler", Silicon Compilation,
  274.     pp. 204-311, Addison-Wesley, 1988
  275.  
  276. 17: What free tools are there available, and what can they do?
  277.  
  278.   (This section can be viewed as a cross reference to the detailed descrip-
  279.   tion of software that follows.)
  280.  
  281.     Analog VLSI and Neural Systems: Caltech VLSI CAD Tools
  282.  
  283.     Automated place and route: octtools, Lager
  284.  
  285.     Digital design environment: Galaxy CAD
  286.  
  287.     Lsi (polygon) schematic capture: magic, octtools(vem)
  288.  
  289.     Layout Verification: caltech tools (netcmp), gemini (Washington
  290.     Univerity), wellchk (MUG)
  291.  
  292.     PCB auto/manual place and route: PADS pcb, PCB (Just for testing lsi
  293.     designs, of course :)
  294.  
  295.     Simulation: irsim(comes with magic), esim, pspice, isplice3, watand,
  296.     switcap2.Synthesis: octtools, blis, Lager, item, (see section on synthesis)
  297.  
  298.     Standard schematic capture: PADS logic, PSPICE for windows
  299.  
  300. 18: What Berkeley Tools are available for anonymous ftp?
  301.  
  302.   available from ftp://ic.eecs.berkeley.edu/pub
  303.  
  304.   adore: switched capacitor layout generator.  (Requires Octtools 5.1 to
  305.   compile.)
  306.  
  307.   bdd:
  308.  
  309.   road: analog layout router
  310.  
  311.   sis: simplifies both sum-of-products and generic multi-level boolean
  312.   expressions; it includes many tools including espresso, bdd
  313.  
  314.   ext2spice: enhanced ext2spice for use with magic
  315.  
  316.   available from ftp://gatekeeper.dec.com/pub/misc
  317.  
  318.   espresso: simplifies sum-of-products boolean expressions
  319.  
  320. 19: What Berkeley Tools are available through ILP?
  321.  
  322.   (From MUG 20 Contributed by Carol Block of U. C. Berkeley)
  323.  
  324.   A new version of the popular circuit simulator, Spice3F2, is now avail-
  325.   able from the Industrial Liaison Program (ILP) Office at the University
  326.   of California, Berkeley.  A new release of Octtools will be forthcoming
  327.   in 1993. Enclosed is a list of software distributed by this office.
  328.  
  329.   Adore, BBL.2, Berkeley Building-Block Layout System, Berkeley Computer
  330.   Integrated Manufacturing System, Parameter Extraction Program for BSIM,
  331.   Parameter Extraction for BSIM2, Bear-FP, Bert, BLIS, Spice 2G with BSIM
  332.   Implementation, Cider, Ditroff/Gremlin, Ecstasy, EDIF 2 0 0, Elogic,
  333.   ES1:Electrostatis 1-Dimensional Periodic Plasma, Franz Lisp, Glitter,
  334.   IBC: Traveling-Wave-Tube Simulation, IEEE-754 Test Vector, Jsim, Jspice,
  335.   Lanso, Magic-X11R3-Patch, Magic 1990 Decwrl/Livermore Release, Mahjong,
  336.   Mighty, Octtools, Parmex Pix-Parmex, Plasma Device Simulation Codes, PLA
  337.   Tools, Proteus, Ptolemy, Relax, Ritual, Sample, Sample-3D, Additional
  338.   SAMPLE Documentation, Simpl-IPX and Simpl System 5, SIS, SPAM, Sparse,
  339.   Spectre, Spice 2G6, Spice 3F2, Additional SPICE Documentation, Splat,
  340.   Splice 3.0, Supercrystal, SWEC, Tempest, TimberWolf 3.2, Tsize, 1986 VLSI
  341.   Tools, Wombat.
  342.  
  343.   Within a few weeks, a new catalog will be available via anonymous FTP.
  344.   Users will also be able to obtain forms, ordering instruc- tions and some
  345.   software via this  means.   Generally,  recipients will  have  to com-
  346.   plete an Agreement Form and pay a documentation and handling fee of about
  347.   $250 per program.
  348.  
  349.   ILP can now distribute most of  its  programs  in  a  variety  of media,
  350.   including: QIC-120, QIC-150, QIC-320, 8mm (2.2 gig), TK 50 (DEC tape for-
  351.   mat), 9-track 1600 bpi and 9-track 6250  bpi.   Visa and  Mastercard ord-
  352.   ers will be accepted on-line by 1993.  Most of the software may be freely
  353.   redistributed either within an organi- zation  or  to other organiza-
  354.   tions, both within the United States and abroad, subject to the certain
  355.   restrictions,  including  all U.S.   Government restrictions, particu-
  356.   larly those concerning ex- port.
  357.  
  358.   (from blurb+ftp, in the ILP distribution)
  359.  
  360.   If you have access to ftp, then the tape is free (you just get to suck it
  361.   over by yourself) and you have to remember to print out the docs yourself
  362.   too.  The usual anonymous ftp rules:
  363.  
  364.           Name:    ftp://ic.eecs.berkeley.edu/edif
  365.           Address:  128.32.132.1
  366.  
  367.                |-EDIFWorld89.ps
  368.                |-Release_7.6-notes-reversed.ps
  369.                |-Release_7.6-notes.ps
  370.                |-Release_7.6.tar.Z
  371.           edif-|-agreement-reversed.ps
  372.                |-agreement.ps
  373.                |-agreement.tex
  374.                |-assurance-reversed.ps
  375.                |-assurance.ps
  376.                |-assurance.tex
  377.                |-blurb
  378.                |-blurb+ftp
  379.  
  380.   Other Ports
  381.    -------------------------------------------------------------------- I
  382.  
  383.   I have a port of the system for SysV, Apollo and HP machines as well
  384.   which is available on request.  Most of these operating systems are
  385.   mature enough now to work directly with Release 7.6.  The system has been
  386.   ported to other non-Unix machines such as VMS, the mac, and various main-
  387.   frame architectures; these latter being a nontrivial effort on the part
  388.   of the individuals involved, but it was accomplished.  I do not have
  389.   these ports; I just know that they are possible because they have been
  390.   performed by others.
  391.  
  392.           For additional information, contact:
  393.  
  394.                Industrial Liaison Program
  395.                205 Cory Hall
  396.                Software Distribution Office
  397.                University of California at Berkeley
  398.                Berkeley, CA  94720
  399.  
  400.                TEL: (510) 643-6687
  401.                FAX: (510) 643-6694
  402.                ilpsoftware@eecs.berkeley.edu
  403.  
  404. 20: Berkeley Spice (Current version 3f4)
  405.  
  406.   (From spice_info on ic.eecs.berkeley.edu)
  407.  
  408.     Upgrading from Spice 3f2 to 3f4
  409.  
  410.   The current version is 3f4. This is derived from version 3f2 by applying
  411.   a patch. The patch is available via ftp from ic.eecs.berkeley.edu.
  412.  
  413.     Acquiring Spice 3f2
  414.  
  415.   For more information on how to acquire Spice3f2, please send your physi-
  416.   cal mailing address to "ilpsoftware@eecs.berkeley.edu" and request a
  417.   software catalog.  This will give you all of the necessary information
  418.   for ordering Spice3f2 and other Berkeley CAD software, including an order
  419.   form and use agreements.  At last check, the cost for spice3f2 was
  420.   $250.00 (this price may change without notice).
  421.  
  422.     Systems supported and Formats Supplied
  423.  
  424.       Spice3f2 has been compiled on the following systems:
  425.           Ultrix 4, RISC or VAX
  426.           SunOS 4, Sun3 or Sun4
  427.           AIX V3, RS/6000
  428.           HP-UX 8.0, 9000/700
  429.           MS-DOS on the IBM PC, using MicroSoft C 5.1 or later
  430.  
  431.   The following systems have been successfully tested either in the past or
  432.   by someone outside of UC Berkeley.
  433.  
  434.           Dynix 3.0, Sequent Symmetry or Balance (does _not_ take advantage of
  435.                   parallelism)
  436.           HP-UX 7.0, 9000/300
  437.           Irix 3.2, SGI Personal Iris
  438.           NeXT 2.0
  439.           Apple MacIntosh, Using Think C
  440.  
  441.   Spice3f2 is distributed in source form only.  The C compiler "gcc" has
  442.   been used successfully to compile spice3f2, as well as the standard com-
  443.   pilers for the systems listed above.
  444.  
  445.   Spice3 displays graphs under X11, PostScript, or a graphics-terminal
  446.   independent library, or as a crude, spice2-like line-printer plot.  On
  447.   the IBM PC, CGA, EGA, and VGA displays are supported through the Micro-
  448.   Soft graphics library.  Note in particular that there is no Suntools
  449.   interface.
  450.  
  451.   Note the the X11 interface to Spice3 expects release 4 or later, and
  452.   requires the "Athena Widgets Toolkit" ("Xaw") which may be available only
  453.   in the "unsupported" portion of your vendor software.  A version of
  454.   "OpenWindows" has problems due to undefined routines during linking --
  455.   linking with a null copy of these routines has reportedly worked, but
  456.   "OpenWindows" has not been tested in any way for this release.
  457.  
  458.   Note that for practical performance a math co-processor is required for
  459.   an IBM PC based on the 286 processor.  A math co-processor is also recom-
  460.   mended for the more advanced IBM PC systems.
  461.  
  462.   (from posting to comp.lsi.cad) The Windows NT port of spice3e2, Spice32,
  463.   is available via ftp from site
  464.   ftp://ftp.cica.indiana.edu/pub/pc/win3/nt/spice100.zip . A similar port
  465.   of nutmeg is included.
  466.  
  467.   (from Robert Zeff <robert@koko.csustan.edu>)
  468.  
  469.   I have revised my on line help for Spice32 / Nutmeg32 for Windows NT and
  470.   Win3.1 to Berkeley's version 3F4.  It is available by ftp from
  471.   ftp://csustan.csustan.edu/pub/spice/nutmeg.hlp .  I have removed the exe-
  472.   cutables for DOD complience.  For access, see the readme file in that
  473.   directory.
  474.  
  475.   I've updated my Spice circuit simulator to 3F5 and have included the
  476.   BSIM3v3 level 8 mosfet model.  You can get it at
  477.  
  478.           http://sonnet.com/rzeff
  479.  
  480.   Sometime it will also be available (ftp) at
  481.   csustan.csustan.edu/pub/spice/i386 or www.zapco.com Yes, it does work on
  482.   Win95.
  483.  
  484.   The Unix distribution comes on 1/2" 9-track tape in "tar" format, TK50
  485.   tape (DEC tape), or QIC-150 1/4" cartridge tape (Sun cartridge tape).
  486.   The MS-DOS distribution comes on several 3.5" floppy diskettes (both high
  487.   and low density) in the standard MS-DOS format.  The contents of both
  488.   distributions are identical, including file names.
  489.  
  490.     New features in 3f2
  491.  
  492.   The following is a list of new features and fixes from the previous major
  493.   release of Spice3 (3e.2) (see the user's manual for details):
  494.  
  495.                   AC and DC Sensitivity.
  496.                   MOS3 discontinuity fix ("kappa").
  497.                   Added a new JFET fitting parameter.
  498.                   Minor initial conditions fix.
  499.                   Rewritten or fixed "show" and "trace" commands.
  500.                   New interactive commands "showmod" and "alter".
  501.                   Minor bug-fixes to the Pole-Zero analysis.
  502.                   Miscellaneous bug fixes in the front end.
  503.  
  504.               Additional features since release 3d.2 are:
  505.                   Lossy transmission line model (not available under MS-DOS).
  506.                   Proper calculation of sheet resistance in MOS models.
  507.                   A new command ("where") to aid in debugging troublesome
  508.                           circuits.
  509.                   Smith-chart plots improved.
  510.                   Arbitrary sources in subcircuits handled correctly.
  511.                   Arbitrary source reciprocal calculations and DC biasing
  512.                           now done correctly.
  513.                   Minor bug-fixes to the Pole-Zero analysis.
  514.                   Miscellaneous bug fixes in the front end.
  515.  
  516.     A Note on Version Numbering
  517.  
  518.   Spice versions are numbered "NXM", where "N" is a number representing the
  519.   major release (as in re-write), "X" is a letter representing a feature
  520.   change reflected by a change in the documentation, and "M" is a number
  521.   indicating a minor revision or bug-patch number.
  522.  
  523.     FTP Access and Upgrades
  524.  
  525.   There is no anonymous ftp access for the Spice3 source(see below). The
  526.   manual for spice3f2 (in it's postscript format) is available via
  527.   anonymous ftp from ftp://ic.eecs.berkeley.edu/pub/spice3/um.3f.ps .  If
  528.   you are interested in the troff/me source, contact the email address
  529.   below (the "make" files and whatnot are somewhat cumbersome for the
  530.   manual).
  531.  
  532.   Patches or upgrades for Spice3 are _not_ normally supplied, however we
  533.   have made exceptions to this rule, particularly in the case of minor ver-
  534.   sion changes (such as 3f2 to 3f3).
  535.  
  536.     Email Address for Problems
  537.  
  538.   Please direct technical inquiries to "spice@berkeley.edu" or "spice-
  539.   bugs@berkeley.edu" (for now these addresses are the same), and ordering
  540.   or redistribution queries to "ilpsoftware@eecs.berkeley.edu".  If you
  541.   find that your email to "spice" or "spice-bugs" doesn't get a response in
  542.   a few days, resend your message.
  543.  
  544.   (from Jim Nance <jlnance@isscad.com>)
  545.  
  546.   Hello all circuits people.  I have uploaded source and binaries for Spice
  547.   2g6 to ftp://sunsite.unc.edu/pub/Linux/Incoming/spice2g6.tar.z .  As you
  548.   are probably aware, spice is a circuit simulator, written at Berkeley.
  549.   Version 2g6 was released in 1983.  The current Berkeley version is
  550.   approximatly Spice 3f2, however, Berkeley does not want this distributed.
  551.   Source code for Spice 3e2 did escape from Berkeley and was ported to
  552.   Linux (and a lot of other platforms).  This code has been removed from
  553.   anonymous FTP servers, and is therefore no longer available.  Berkeley
  554.   does publish the source code for Spice 2g6.
  555.  
  556.   I obtained the source code for Spice from a 386BSD ftp site.  The code
  557.   compiled cleanly, with only minor changes to the Makefile being required.
  558.   I also included an ASCII spice manual which I have found helpful.
  559.  
  560.   (from Martin Maschmann <martin.maschmann@t-online.de>)
  561.  
  562.   I can also be reached at <martin.maschmann@vlsi.com>
  563.  
  564.   I have created a SCHEMATIC CAPTURE program running under X11Rsomething
  565.   (something >=5) for both linux, sunos and SOLARIS. SPICECAD now has a
  566.   home page which has the URL:
  567.  
  568.           <URL:http://home.t-online.de/home/martin.maschmann>
  569.  
  570.   There you will find some links to an ftp site from where you can download
  571.   the compiled binaries. Before loading, you can look at some pictures
  572.   which show how the graphics interface looks like. If you don't like it,
  573.   don't load it.
  574.  
  575.   An english manual is included. Please read the manual!  Example schemat-
  576.   ics are included, too.
  577.  
  578.   Soure code is not included, because the making of SPICECAD means making
  579.   of SPICE3F4 , which is hard-linked to SPICECAD, making a stripped version
  580.   of GNUPLOT and making the schematic entry part.
  581.  
  582.   All in all, it is really a mess, especially if you look at the schematics
  583.   part which is VERY hard to understand.
  584.  
  585.   Customizing SPICECAD for your own needs means: send me a mail which
  586.   explains the problem, and then I can tell you whether I will do it by
  587.   myself (because there could be a wide need for this new feature), or
  588.   whether you can do it by yourself.
  589.  
  590.   For example, if you want to create an interface for a new simulator (a
  591.   PSPICE interface is still missing, an HSPICE interface will be available
  592.   in the future), I can send you several example files (the interface for
  593.   HSPICE), and you write the interface. Finally , everything can be linked.
  594.  
  595.   Those who want to add some features I cannot write (because my program-
  596.   ming skills and time is limited) can obtain the source code on a small
  597.   QIC cartridge. What I am thinking of is an EDIF interface. This would be
  598.   a very nice feature.
  599.  
  600. 21: Octtools (Current version 5.1)
  601.  
  602.   (From the ANNOUNCE-5.1 that comes with it)
  603.  
  604.   Octtools is a collection of programs and libraries that form an
  605.   integrated system for IC design.  The system includes tools for PLA and
  606.   multiple-level logic synthesis, state assignment, standard-cell, gate-
  607.   matrix and macro-cell placement and routing, custom-cell design, circuit,
  608.   switch and logic-level simulation, and a variety of utility programs for
  609.   manipulating schematic, symbolic, and geometric design data.  Most tools
  610.   are integrated with the Oct data manager and the VEM user interface.
  611.  
  612.   The software requires UNIX, the window system X11R4 including the Athena
  613.   Widget Set. The design manager VOV and a few other tools require the C++
  614.   compiler g++.
  615.  
  616.   Octtools-5.1 have been built and tested on the following combinations of
  617.   machines and operating systems: DECstation 3100, 5000 running Ultrix 4.1
  618.   and 4.2; DEC VAX running Ultrix 4.1 and 4.2; Sun 3 and 4 running OS 4.0
  619.   and Sun SparcStation running OS 4.0.  The program has been tried on the
  620.   following machines, but is not supported: Sequent Symmetry, IBM RS/6000
  621.   running AIX 3.1.
  622.  
  623.   To obtain a copy of Octtools 5.1 (8mm, tk50, or 1/4inch cartridge QIC150)
  624.   and a printed copy of the documentation) for a $250 distribution charge,
  625.   see section on Berkeley ILP.
  626.  
  627.   Questions may be directed to octtools@ic.eecs.berkeley.edu.
  628.  
  629. 22: Ptolemy (Current version 0.5):
  630.  
  631.   (From comp.lsi.cad)
  632.  
  633.    What is Ptolemy:
  634.    ---------------
  635.  
  636.   Ptolemy provides a highly flexible foundation for the specification,
  637.   simulation, and rapid prototyping of systems.  It is an object oriented
  638.   framework within which diverse models of computation can co-exist and
  639.   interact.  For example, using Ptolemy a data-flow system can be easily
  640.   connected to a hardware simulator which in turn may be connected to a
  641.   discrete-event system, etc.  Because of this, Ptolemy can be used to
  642.   model entire systems.
  643.  
  644.   Ptolemy also has code generation capabilities.  From a flow graph
  645.  
  646.   description, Ptolemy can generate C code and DSP assembly code for rapid
  647.   prototyping.  Ptolemy can also generate Silage and VHDL descriptions for
  648.   hardware synthesis.
  649.  
  650.   Ptolemy has been used for a broad range of applications including signal
  651.   processing, telecomunications, parallel processing, wireless communica-
  652.   tions, network design, radio astronomy, real time systems, and
  653.   hardware/software co-design.  Ptolemy has also been used as a lab for
  654.   signal processing and communications courses.  Currently Ptolemy has hun-
  655.   dreds of users in over 100 sites, both in industry and academia.
  656.  
  657.   Ptolemy is available for the Sun 4 (sparc), DecStation (MIPS), and HP
  658.   (HP-PA) architectures. Installing the system requires 90 Mbytes for
  659.   Ptolemy (more if you optionally remake).  Ptolemy also requires at least
  660.   8 Mbytes of physical memory.
  661.  
  662.    Getting the New Release:
  663.    -----------------------
  664.  
  665.   Ptolemy is available via anonymous ftp at:
  666.   ftp://ptolemy.eecs.berkeley.edu/pub/README This site contains the entire
  667.   Ptolemy distribution, a postscript version of the Ptolemy manual, and
  668.   several Ptolemy papers.
  669.  
  670.           For those unfamiliar with anonymous ftp, here's what you need to do:
  671.           1.   FTP to Internet host "ptolemy.eecs.berkeley.edu"  (128.32.240.78)
  672.           2.   Login as "anonymous"; use your full email address as the password
  673.           3.   cd pub
  674.           4.   get the README file and follow its instructions.
  675.  
  676.           Organizations without Internet FTP capability can obtain Ptolemy
  677.           without support from ILP:
  678.  
  679.                   EECS/ERL Industrial Liaison Program Office
  680.                   Software Distribution
  681.                   205 Cory Hall
  682.                   University of California, Berkeley
  683.                   Berkeley, CA 94720
  684.                   (510) 643-6687
  685.                   email: ilpsoftware@eecs.berkeley.edu
  686.  
  687.   This includes printed documentation, including installation instructions,
  688.   a user's guide, and manual pages.  A handling fee (on the order of $250)
  689.   will be charged.
  690.  
  691. 23: Lager (Current version 4.0):
  692.  
  693.   (From MUG 18)
  694.  
  695.   The LAGER system is a set of CAD tools for performing parameterized VLSI
  696.   design with a slant towards DSP applications (but not limited to DSP
  697.   applications).  A standard cell library, datapath library, several module
  698.   generators and several pad libraries comprise the cell library.  These
  699.   tools and libraries have originated from UC Berkeley, UCLA, USC, Missis-
  700.   sippi State, and ITD.  The tool development has been funded by DARPA
  701.   under the Rapid Prototyping Contract headed by Bob Brodersen (UC Berke-
  702.   ley).  LAGER 3.0 was described in MUG 15.
  703.  
  704.   Send email to reese@erc.msstate.edu if you are interested in obtaining
  705.   the toolset via FTP. If you cannot get the distribution via ftp then send
  706.   one 1/4" 600 ft. tape OR an 8 mm tape (Exabyte compatible) to Bob Reese
  707.   by phone at (601)-325-3670 or at one of the following addresses:
  708.  
  709.           (US Mail Address)
  710.           P.O. Box 6176
  711.           Mississippi State, MS 39762
  712.  
  713.           (FEDEX)
  714.           2 Research Boulevard
  715.           Starkville, MS 39759
  716.  
  717.   Be sure to include a return FEDEX waybill we can use to ship your tape
  718.   back to you. Instead of sending a tape and FEDX waybill, you can also
  719.   just send us a check for $75 and we will send you back a tape.  Make the
  720.   check payable to Mississippi State Univ.  The tape will be written on a
  721.   high density tape drive (150 Mb).  Older low density SUN tape drives (60
  722.   Mb) cannot read this format so you need to have access to one of SUN's
  723.   newer tape drives.
  724.  
  725. 24: BLIS (Current version 2.0):
  726.  
  727.   (From their announcement posted here)
  728.  
  729.   BLIS (Behavior-to-Logic Interactive Synthesis) is an environment for the
  730.   synthesis of digital circuits from high-level descriptions.  Version 2.0
  731.   supports functional-level synthesis starting from the ELLA hardware
  732.   description language.  Other languages can easily be supported by inter-
  733.   facing a parser to the internal data-flow representation of BLIS.
  734.  
  735.   BLIS is distributed through the Industrial Liason's Program (ILP) Office
  736.   of the UCB EECS department.  The cost of $250 covers media and distribu-
  737.   tion charges.  Binaries are provided for SUN4 and DEC MIPS architectures
  738.   but BLIS should compile on most other machines supported by the GNU C and
  739.   C++ compilers (e.g. HP, vax, etc).  ELLA language documentation and simu-
  740.   lator are not supplied with the BLIS distribution, but can be obtained
  741.   from Computer General.
  742.  
  743. 25: COSMOS and BDD
  744.  
  745.   (From their announcement posted here)
  746.  
  747.                 Obtaining and installing COSMOS and BDD.
  748.  
  749.   The COSMOS package generates switch-level simulators for MOS circuits.
  750.   The BDD package is a subset of COSMOS providing a set of library routines
  751.   for symbolic Boolean manipulation.
  752.  
  753.   To obtain a copy of either COSMOS or BDD via FTP:
  754.  
  755.   1. Create an appropriate subdirectory.  For COSMOS, you may want to
  756.      create a symbolic link /usr/cosmos to this directory, although this is
  757.      not essential.
  758.  
  759.   2. Connect to the subdirectory
  760.  
  761.   3. FTP to ftp://n3.sp.cs.cmu.edu/usr/cosmos/ftp (login anonymous, pass-
  762.      word yourname@your.host.name)
  763.  
  764.   4. Type:
  765.  
  766.              cd /usr/cosmos/ftp
  767.              ls
  768.  
  769.   5. Select which version of the code you want.  The files are named
  770.      bdd.XXX.YYY.tar.Z and cosmos.XXX.YYY.tar.Z, where XXX.YYY is the ver-
  771.      sion number.  Generally you should select the highest numbered ver-
  772.      sion.
  773.  
  774.   6. 6. Type:
  775.              get <FILE> (where <FILE> is the file name of the selected ver-
  776.      sion).
  777.              get README
  778.              quit
  779.  
  780.   7. Follow the instructions in README
  781.  
  782.   8. Send the following information to cosmos@cs.cmu.edu
  783.  
  784.              Your name
  785.              Your postal address
  786.              Your net address
  787.              The file retrieved
  788.              The date of your retrieval
  789.  
  790.   COSMOS and BDD are made available with the understanding that no part of
  791.   it will be redistributed further without permission.
  792.  
  793.   Last updated 18 July 1991 by Derek Beatty.
  794.  
  795.   26: ITEM
  796.  
  797.   (Taken from the item.news file contained in the package:)
  798.  
  799.   The first public release of ITEM, UCSC's logic minimizer using if-then-
  800.   else DAGs, was made 2 January 1991.  The system is available by anonymous
  801.   ftp from ftp://ftp.cse.ucsc.edu/pub/item/item.tar.Z .  Also available are
  802.   tech reports about the algorithms and data structures (88-28, 88-29, and
  803.   90-43).
  804.  
  805.   ITEM can also be found at ftp://ftp.cse.ucsc.edu/pub/item directory.
  806.  
  807. 27: PADS logic/PADS PCB:
  808.  
  809.   While this is a commercial product, they have just recently made avail-
  810.   able a shareware version.  This version is fully functional and indenti-
  811.   cal to their schematic capture and PCB autoplace and route software
  812.   except that it is limited to about 50 components.  It is available for
  813.   IBM PC/PC compatibles directly from PADS, or from anynonmous ftp at
  814.   several sites including
  815.   <URL:ftp://wuarchive.wustl.edu:/systems/ibmpc/simtel/cad/pads*.zip>.
  816.   There is a $50 registration fee if you would like to get future updates
  817.   from them.
  818.  
  819. 28: Another PCB Layout Package:
  820.  
  821.   (from Randy Nevin <randyn@microsoft.com>:)
  822.  
  823.   I am distributing a freely-copyable printed circuit board (pcb) autorout-
  824.   ing software package called PCBCAD. It runs on PC-compatible computers,
  825.   and requires EGA resolution. All source code is included. It contains: a
  826.   "ratnest" viewer, autorouters for 1- and 2-layer boards, a board viewer,
  827.   hard copy output programs for hp laserjet and postscript printers, and a
  828.   DXF converter (autocad). For more background on autorouting, see the
  829.   related article published in the September 1989 Dr. Dobb's Journal. In a
  830.   nutshell, what you do is create an ascii file which describes your cir-
  831.   cuit, feed it to the autorouter, and the circuit will be routed for you.
  832.   To receive the programs, send a stamped, self-addressed floppy mailer and
  833.   a floppy to:
  834.  
  835.           Randy Nevin,
  836.           24135 SE 16th PL
  837.           Issaquah, WA 98029, USA
  838.           internet: randyn@eskimo.com.
  839.  
  840.   The programs are also available via ftp from
  841.  
  842.           <URL:ftp://oak.oak.and.edu/SimTel/msdos/cad/pcbca110.zip>
  843.           <URL:ftp://oak.oak.and.edu/SimTel/msdos/cad/pcbcattl.zip>
  844.  
  845. 29: Magic (Current version 6.5):
  846.  
  847.   This is a polygon based lsi layout editor.  It is capable of reading and
  848.   writing magic, calma (version 3.0, corresponding to GDS II Release 5.1),
  849.   and cif.  It is available for anonymous ftp from
  850.   ftp://gatekeeper.dec.com/pub/DEC/magic .
  851.  
  852.   Linux versions of magic are available from the standard linux mirror
  853.   archives, such as ftp://dorm.rutgers.edu/pub/linux/sources/usr.bin.X11/
  854.   [128.6.18.15]:
  855.  
  856.              ftp://dorm.rutgers.edu/pub/linux/sources/usr.bin.X11/magicp3-src.tar.gz
  857.              ftp://dorm.rutgers.edu/pub/linux/sources/usr.bin.X11/magic63p3-run.tar.gz
  858.  
  859.   A short summary of the problems people have experienced in using Magic
  860.   6.3 under Linux is available:
  861.  
  862.                   ftp://magnet.fsu.edu/users/murali/magic6.3-summary
  863.  
  864.   (from Bob Mayo <mayo@pa.dec.com>)
  865.  
  866.   Magic 6.4 is a minor update of magic. It includes the patches from the
  867.   6.3 notes series, as well as ports to Digital's Alpha AXP OSF/1 worksta-
  868.   tions (courtesy of Stefanos Sidiropoulos) and to Linux on a PC (courtesy
  869.   of Harold Levy).
  870.  
  871.   This release includes an updated copy (version 9.2) of Stanford's Irsim
  872.   program, as well as scmos tech files (version 8.0.0) from MOSIS.
  873.  
  874.   The easiest way to get magic is via the World Wide Web:
  875.  
  876.                   <URL:http://www.research.digital.com/wrl/magic/magic.html>
  877.  
  878.   If you don't have web access, use anonymous FTP from gatekeeper.dec.com
  879.   in the directory pub/DEC/magic/6.4. This directory also include the file
  880.   irsim-9.2.tar.Z.
  881.  
  882.   (from Tom Burd <burd@eecs.berkeley.edu>)
  883.  
  884.   If you have layout you can extract, try using irsim-cap, a modified ver-
  885.   sion of irsim.  switched level simulation gives results close to spice
  886.   (within 20% for certain (rail-to-rail) circuits... CMOS, nora, domino,
  887.   etc.  stuff like CPL, some differential logic styles, etc. gives irsim
  888.   problems in its estimation).  And it is _much_ faster than SPICE.  We
  889.   simulate upwards of 100k xsistor chips, but it takes a good CPU and lots
  890.   of memory. You can download
  891.   such:<URL:ftp://infopad.eecs.berkeley.edu/pub/irsim-cap.tar.Z>
  892.  
  893.   (from comp.lsi.cad)
  894.  
  895.   Newer versions of magic (6.5) and irsim (9.4) are now available through
  896.   the magic web page:
  897.  
  898.           <URL:http://www.research.digital.com/wrl/projects/magic/magic.html>
  899.  
  900.   Magic 6.5 is yet another upgrade of magic. It includes all patches posted
  901.   since the introduction of 6.4.4 and integrates ports to Solaris and
  902.   Free-BSD.  Additionally it includes:
  903.  
  904.           New versions of ext2sim and ext2spice.
  905.           Cif/Calma enhancements
  906.           DRC enhancements
  907.           Some new commands.
  908.           The latest version of the mosis technology file.
  909.  
  910.   Magic-6.5 is distributed with irsim-9.4. This new version deals with the
  911.   sim file format produced by magic-6.5 and it also includes support for
  912.   power estimation and writing user modules in C.
  913.  
  914.   The system has been compiled and tested in a number of systems (solaris,
  915.   irix, ultrix, linux, sunos, hpux to name a few). However the usuall dis-
  916.   claimers about the no-maintenance mode apply: I can't promise that I will
  917.   fix any bugs (which I am sure that exist) but I will do my best.
  918.  
  919.   Comments and bug reports/patches should be posted to the magic hypermail
  920.   archive: magic-hypermail@pa.dec.com
  921.  
  922. 30: PSpice:
  923.  
  924.   This is a commercial product, however, they do have a student version
  925.   that is available (limited to around 16 transistors).
  926.  
  927.           PC dos version 5.0a:
  928.                           ftp://oak.oakland.edu/pub/msdos/electric/pspice5a.zip
  929.                           ftp://oak.oakland.edu/pub/msdos/electric/pspice5b.zip
  930.  
  931.           PC windows3 version 5.1:
  932.                           ftp://ftp.cica.indiana.edu/pub/pc/win3/util/pspice1.zip
  933.                           ftp://ftp.cica.indiana.edu/pub/pc/win3/util/pspice2.zip
  934.  
  935.           Mac version 5.1:
  936.                           ftp://sumex-aim.stanford.edu/info-mac/app/pspice-51.hqx
  937.  
  938.   The PC version is also available at a number of U.S. and non-U.S. sites.
  939.  
  940.   PSPICE 6.0
  941.  
  942.   (from Jonathan Layes <layes@qucis.queensu.ca>)
  943.  
  944.   An evaluation version of PSpice 6.0 for DOS and Windows 3.1 is now avail-
  945.   able.
  946.  
  947.           PC dos version 6.0:
  948.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6d1.zip>
  949.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6d2.zip>
  950.  
  951.           PC windows3.1 version 6.0:
  952.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6w1.zip>
  953.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6w2.zip>
  954.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6w3.zip>
  955.  
  956.           PC explode disk:
  957.                           <URL:ftp://bode.ee.ualberta.ca/pub/electrical/win3/spice6ed.zip>
  958.  
  959.   The incoming directory is not directly readable, but files can still be
  960.   read via FTP. These will be moved ot a more appropriate directory, prob-
  961.   ably pub/cookbook/softw/msdos.
  962.  
  963.   PSPICE 6.2
  964.  
  965.   (from Richard Nekus<ao387@freenet.carleton.ca>)
  966.  
  967.   Evaluation versions of the circuit simulator and schematic editor are
  968.   available with the following limitations:
  969.  
  970.           These device limitations apply:
  971.  
  972.             - 64 analog nodes
  973.             - or, 10 transistors (any combinationn of B, M, Q, or J devices)
  974.             - or, 2 opamps
  975.             - or, 10 transmission lines (up to 4 coupled)
  976.             - or, 65 digital primitive devices
  977.             - or, logic output transitions limited to 10000
  978.             - or, logic expression primitives limited to 36 I/O pins
  979.             - or, any combination of the above (which will result in a
  980.                   lower allowable number of each)
  981.  
  982.           Additional limitations include:
  983.  
  984.             - device characterization for diodes only
  985.             - stimulus generation for sine waves only
  986.             - libraries with approximately 22 analog and 140 digital parts
  987.             - synthesis of up to 3rd order filters
  988.  
  989.           Schematic Editor limitations include:
  990.  
  991.