home *** CD-ROM | disk | FTP | other *** search
/ ftp.pasteur.org/FAQ/ / ftp-pasteur-org-FAQ.zip / FAQ / lsi-cad-faq / part1 next >
Internet Message Format  |  1997-01-10  |  43KB

  1. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!howland.erols.net!worldnet.att.net!news.mathworks.com!newsfeed.internetmci.com!newsfeed.direct.ca!nntp.portal.ca!news.bc.net!info.ucla.edu!nnrp.info.ucla.edu!news.ucdavis.edu!altarrib!monk
  2. From: altarrib@monk.ece.ucdavis.edu (Michael Altarriba)
  3. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 1/4) [LONG]
  5. Supersedes: <lsi-cad-faq/part1_849196414@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Date: 10 Jan 1997 00:53:28 GMT
  8. Organization: Department of Electrical and Computer Engineering, UC Davis
  9. Lines: 970
  10. Approved: news-answers-request@MIT.Edu
  11. Distribution: world
  12. Message-ID: <lsi-cad-faq/part1_852857606@bird.ece.ucdavis.edu>
  13. Reply-To: clcfaq@ece.ucdavis.edu
  14. NNTP-Posting-Host: monk.ece.ucdavis.edu
  15. Summary: This is a biweekly posting of frequently asked questions with answers 
  16.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  17.          before posting questions to comp.lsi or comp.lsi.cad.
  18. Keywords: FAQ
  19. Xref: senator-bedfellow.mit.edu comp.lsi:7660 comp.lsi.cad:8482 news.answers:91629 comp.answers:23575
  20.  
  21. Archive-name: lsi-cad-faq/part1
  22. Posting-Freqency: every 14 days
  23. Url: http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html
  24.  
  25. Welcome to comp.lsi.cad / comp.lsi: this is the biweekly posting of fre-
  26. quently asked questions with answers.  Before you post a question such as
  27. "Where can I ftp spice from?", please make sure that the answer is not
  28. already here.  If you spot an error, or if there is any information that
  29. you think should be included, please send us a note at
  30. clcfaq@ece.ucdavis.edu.
  31.  
  32. This FAQ has recently been put on the Web in a much more readable format.
  33. Though it is still under minor construction, all of the pieces are there.
  34. Try it out at <URL:http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-
  35. toc.html> and let us know of any problems or suggestions by mailing to
  36. clcfaq@ece.ucdavis.edu.
  37.  
  38. The products and packages described here are intended for research and edu-
  39. cational use. As such, we try to limit our entries to applications which
  40. are available for free or at low cost (< $500). We also wish to limit the
  41. descriptions to at most a page (60 lines) in length.
  42.  
  43.     Bret Rothenberg <rothenbe@ece.ucdavis.edu>
  44.     Wes Hardaker <hardaker@ece.ucdavis.edu>
  45.     Mike Altarriba <altarrib@ece.ucdavis.edu>
  46.  
  47.     Solid State Circuits Research Laboratory
  48.     Electrical Engineering and Computer Science
  49.     University of California, Davis
  50.     Davis, California 95616
  51.  
  52. ----------------------------------------------------------------------
  53.  
  54.   $Id: comp.lsi.cad.FAQ.ms,v 1.150 1997/01/10 00:52:03 altarrib Exp $
  55.  
  56.   Frequently Asked Questions with Answers
  57.  
  58.   ! 1: Mosis Users' Group (MUG)
  59.     2: Improved spice listing from magic.
  60.     3: Tips and tricks for magic (Version 6.3)
  61.     4: What can I use to do good plots from magic/CIF?
  62.     5: What tools are used to layout verification?
  63.     6: EDIF data exchange format.
  64.     7: What layout examples are available?
  65.     8: How can I get my lsi design fabbed and how much will it cost?
  66.     9: Mosis fabrication services.
  67.     10: Archive sites for comp.lsi.cad and comp.lsi
  68.     11: Other newsgroups and information sources that relate to comp.lsi*
  69.     12: Simulation programs tips/tricks/bugs
  70.     13: Getting the latest version of the FAQ
  71.     14: Converting from/to GDSII/CIF/Magic
  72.     15: CFI (CAD Framework Initiative Inc.)
  73.     16: What synthesis systems are there?
  74.     17: What free tools are there available, and what can they do?
  75.     18: What Berkeley Tools are available for anonymous ftp?
  76.     19: What Berkeley Tools are available through ILP?
  77.   ! 20: Berkeley Spice (Current version 3f4)
  78.     21: Octtools (Current version 5.1)
  79.     22: Ptolemy (Current version 0.5)
  80.     23: Lager (Current version 4.0)
  81.     24: BLIS (Current version 2.0)
  82.     25: COSMOS and BDD
  83.     26: ITEM
  84.     27: PADS logic/PADS PCB
  85.     28: Another PCB Layout Package
  86.     29: Magic (Current version 6.5)
  87.     30: PSpice
  88.     31: Esim
  89.     32: iSPLICE3, a mixed-mode simulator for MOS/Bipolar circuits
  90.     33: Watand
  91.     34: Caltech VLSI CAD Tools
  92.     35: Switcap2 (Current version 1.1)
  93.     36: Test Software based on Abramovici text
  94.     37: Atlanta and Soprano automatic test generators
  95.     38: Olympus Synthesis System
  96.     39: OASIS logic synthesis
  97.     40: T-SpiceTM (was CAzM), a Spice-like table-based analog circuit simulator
  98.     41: Galaxy CAD, integrated environment for digital design for Macintosh
  99.     42: WireC graphical/procedural system for schematic information
  100.     43: LateX circuit symbols for schematic generation
  101.     44: Tanner Research Tools (Ledit and LVS) (Commercial Product)
  102.     45: SIMIC, a full-featured logic verification simulator
  103.     46: LASI CAD System, IC and device layout for IBM compatibles
  104.     47: EEDRAW, an electrical/electronic diagramming tool for IBM compatibles
  105.     48: MagiCAD, GaAs Gate Array Design through MOSIS
  106.     49: XSPICE, extended version of Spice
  107.     50: MISIM, a model-independent circuit simulation tool
  108.     51: Nelsis Cad Framework
  109.     52: APLAC, a general purpose circuit simulation and design tool
  110.     53: SLS, a switch-level simulator
  111.     54: OCEAN, a sea-of-gates design system
  112.     55: ALLIANCE, a CAD package and simulator for teaching digital VLSI design
  113.   ! 56: ceBox EDIF Viewer and Schematic Generator
  114.     57: Analog CMOS VLSI Design Educational Resource Kit
  115.     58: TDX Fault Simulation and Test Generation Software
  116.     59: Nascent Technologies CDROM - magic and spice releases for Linux
  117.     60: Time Crafter 1.0, a timing diagram documentation tool
  118.     61: ACS, a general purpose mixed analog and digital circuit simulator
  119.     62: LOG/iC, a logic synthesis package for PLDs
  120.     63: SIMLAB, a circuit simulation environment
  121.     64: Pcb, an X-based PC board design tool
  122.     65: SPICE-PAC, A Modular Spice Simulator with Enhancements
  123.     66: U.C. Berkeley Low-Power Cell Library
  124.     67: The Substrate Resistance Extractor SUBSPACE
  125.     68: XRLCAD, A C++ library for manipulating Calma (GDS) and CIF libraries
  126.     69: SAVANT, an Analyzer of VHDL Applications for Next-Generation Technology
  127.     70: Protel Demos for Windows
  128.     71: BPECS PCB Software
  129.     72: RF, an RF Circuit Simulation Tool
  130.   + : new item
  131.   ! : changed
  132.   ? : additional information for this subject would be appreciated.
  133.  
  134. 1: Mosis Users' Group (MUG)
  135.  
  136.   (From the Microelectronics Systems Newsletter)
  137.  
  138.   Microelectronic Systems News, formerly known as the MOSIS  Users' Group
  139.   (MUG)  Newsletter,  includes not only items of interest to those design-
  140.   ing integrated circuits for prototyping via MOSIS but also  for  those
  141.   designing, prototyping and producing microelec- tronic systems. Notices
  142.   of new items are broadcast to about  1800 subscribers  throughout  the
  143.   world.  There is no charge for this service.
  144.  
  145.   To make a contribution or to be added to the  email  notification list,
  146.   please send email to Prof. Don Bouldin at the University of Tennessee,
  147.   Knoxville: dbouldin@utk.edu
  148.  
  149.   Microelectronic Systems News can now be accessed at:
  150.  
  151.           <URL:http://microsys6.engr.utk.edu/ece/msn>
  152.  
  153.   A variety of design files and CAD tools contributed by the members of the
  154.   MOSIS Users' Group (MUG) are now available via anonymous ftp from
  155.   "ftp://ftp.mosis.edu/pub/mug" (128.9.0.32).  The files "readme" and
  156.   "index" should be retrieved first.  These files are provided "as is", but
  157.   may prove very helpful to those using the MOSIS integrated circuit proto-
  158.   typing service.
  159.  
  160. 2: Improved spice listing from magic.
  161.  
  162.   Hierarchical extractions with net names: ext2spice done by Andy Burstein
  163.   <burstein@eecs.berkeley.edu>:
  164.  
  165.   This program will do hierarchial extraction using node names.  It sup-
  166.   ports PS, PD, AS, and AD extraction as well.  It is available for ftp
  167.   from ftp://ic.eecs.berkeley.edu/pub/spice3/ext2spice.tar .
  168.  
  169.   Poly and well resistance extraction: There are persistent rumors that
  170.   people have this working, however, all I have seen is extracted poly
  171.   resistor with each end shorted together, ie each end has the same node
  172.   name/number.
  173.  
  174.   (This is the most annoying problem that I typically encounter daily.  If
  175.   ANYONE knows a fix for this, please tell us! I wrote a real quick and
  176.   dirty set of scripts/programs to edit the magic file.  It will break the
  177.   poly contacts and relabel them.  This is a real hack, but all other solu-
  178.   tions require modification of the magic code itself.  This procedure only
  179.   works with an extractor that handles labeled nodes, i.e. ext2spice from
  180.   above.  --WH)
  181.  
  182.   Spice listing from magic with MESFETs.
  183.  
  184.   (from Jen-I Pi <pi@isi.edu>)
  185.  
  186.   We have a revised version (of sim2spice) that goes with version6. It is
  187.   available from our anonymous FTP host
  188.   "ftp://ftp.mosis.edu/pub/mosis/magic/gaas_extract.tar.Z" (128.9.0.32).
  189.  
  190.   Assuming file inv.ext exist, the procedure for using 'sim2spice' is
  191.  
  192.               ext2sim inv
  193.               sim2spice inv.sim
  194.  
  195.   Here's the resulting SPICE decks for SPICE3e...
  196.  
  197.           SPICE 3 Deck created from inv.sim, tech=edgaas
  198.           *
  199.           z2 3 4 2 efet1.2 2.8
  200.           C3 3 0    0.485F
  201.           C4 4 0    1.062F
  202.           z1 1 4 3 dfet1.2 2.8
  203.           *
  204.  
  205.   Commercial Plotting Service
  206.  
  207.   Artwork Conversion offers an IC plotting service. We will take your
  208.   CIF/GDSII files and plot them in large format color using an HP 650C
  209.   color plotter in 24 hrs at a very reasonable cost.
  210.  
  211.   Designers can FTP files to artwork.com and we will plot them the same day
  212.   and return by FedEx.
  213.  
  214.   Fill patterns and line types are completely customizable although most
  215.   users select from the 100 preset patterns already defined.
  216.  
  217.   Complete information, specifications and pricing is available from our
  218.   Web site: <URL:http://www.artwork.com/plot1.html>.
  219.  
  220.   We are offering universities our best price that we give to high volume
  221.   customers: $4.00 per square foot of plotted area.
  222.  
  223.   The plots can be any size (the plotter is 36 inches wide).
  224.  
  225.   We can of course be reached by tel (408) 426-6163 fax 426-2824.
  226.  
  227.   Foundries such as MOSIS, Chip Express and Orbit use this service as well
  228.   as many small design shops that cannot justify a large format plotter.
  229.  
  230.   contact: Hagai Pettel hagai@artwork.com or Steve DiBartolomeo
  231.   stevedb@artwork.com
  232.  
  233. 3: Tips and tricks for magic (Version 6.3)
  234.  
  235.   Searching for nets:
  236.  
  237.   Yes, magic does actually let you search for node names.  Use :specialopen
  238.   netlist.  Then click on the box underneath label, you will be prompted
  239.   for the name of the label you want to search for.  Enter the name, and
  240.   then press enter twice.  Click on show, and then find, magic will then
  241.   highlight the net.
  242.  
  243.   Bulk node extraction:
  244.  
  245.   Problems with getting the bulk node to extract correctly?  Try labeling
  246.   the well with the node name that it is connected to.
  247.  
  248.   Painting Wells:
  249.  
  250.   Supposedly :cif in magic will automatically paint in the wells correctly.
  251.   However this is not always the case.  If you are using mosis 2u technol-
  252.   ogy, and your wells are getting strange notches in them, you might try
  253.   changing the grow 300 shrink 300 lines in your lambda=1.0(pwell) and
  254.   lambda=1.0(nwell) cif sections of your tech file to grow 450 shrink 450.
  255.   (Remember you can use :cif see CWN to see nwell, if :cifostyle is nwell,
  256.   or :cif see CWP to see pwell if its pwell technology to preview what will
  257.   be done with the well.  You may use :feedback clear to erase what it
  258.   shows you.)
  259.  
  260.   Magic notes available from ftp://gatekeeper.dec.com/pub/DEC/magic/notes
  261.   (16.1.0.2):
  262.  
  263.   Magic note.1 - 9/14/90 - ANNOUNCEMENT:  Magic V6 is ready
  264.   Magic note.2 - 9/19/90 - DOC:  Doc changes (fixed in releases after 9/20/90)
  265.   Magic note.3 - 9/19/90 - GRAPHICS:  Mode problem (fixed 9/20/90)
  266.   Magic note.4 - 9/19/90 - HPUX:  rindex macro for HPUX 7.0 and later
  267.   Magic note.5 - 9/19/90 - GCC:  "gcc" with magic, one user's experience
  268.   Magic note.6 - 9/19/90 - FTP:  Public FTP area for Magic notes
  269.   Magic note.7 - 9/20/90 - RSIM:  Compiling rsim, one user's suggestions & hints
  270.   Magic note.8 - 9/26/90 - GENERAL:  Magic tries to open bogus directories
  271.   Magic note.9 - 9/26/90 - GRAPHICS:  Mods to X11Helper
  272.   Magic note.10 - 10/5/90 - DOS:  Magic V4 for DOS and OS/2
  273.   Magic note.11 - 10/11/90 - GENERAL:  reducing memory usage by 600k
  274.   Magic note.12 - 12/19/90 - EXT2xxx:  fixes bogus resistances
  275.   Magic note.13 - 12/19/90 - EXTRESIS:  fixed bug in resis that caused coredump.
  276.   Magic note.14 - 12/19/90 - EXTRESIS:  new version of scmos.tech for extresis
  277.   Magic note.15 - 12/19/90 - TECH:  documentation for contact line in tech file
  278.   Magic note.16 - 12/19/90 - EXTRACT:  bug fix to transistor attributes
  279.   Magic note.17 - 5/13/91 - CALMA:  Incorrect arrays in calma output
  280.   Magic note.18 - 5/14/91 - CALMA:  Extension to calma input
  281.   Magic note.19 - 6/28/91 - IRSIM:  Some .prm files for IRSIM
  282.   Magic note.20 - 7/18/91 - EXTRESIS:  fixes for Magic's extresis command
  283.   Magic note.21 - 2/7/92 - FAQ:  Frequently asked questions
  284.   Magic note.22 - 11/6/91 - CALMA:  how to write a calma tape
  285.   Magic note.23 - 11/4/91 - EXT2xxx:  fix for incorrect resistor extraction
  286.   Magic note.24 - 11/8/91 - EXTRESIS:  fix 0-ohm resistors
  287.   Magic note.25 - 11/15/91 - NEXT:  porting magic to the NeXT machine
  288.   Magic note.26 - 11/21/91 - IRSIM:  fix for hanging :decay command
  289.   Magic note.27 - 12/17/91 - RESIS:  fix for "Attempt to remove node ..." error
  290.   Magic note.28 - 1/28/92 - MAGIC:  anonymous FTP now available
  291.   Magic note.29 - 3/27/92 - PLOT:  support for Versatec 2700
  292.   Magic note.30 - 4/8/92 - PATHS:  Have the ":source" command follow a path
  293.   Magic note.31 - 4/10/92 - MPACK:  Mpack now works with Magic 6.3
  294.   Magic note.32 - 3/13/92 - AED:  Using AED displays with Magic 6.3
  295.   Magic note.33 - 3/13/92 - OPENWINDOWS:  Compilation for OpenWindows/X11
  296.   Magic note.34 - 2/14/92 - OPENWINDOWS:  fix mouse problem
  297.   Magic note.35 - 8/27/92 - RS6000: diffs to get magic to run on RS6000
  298.  
  299. 4: What can I use to do good plots from magic/CIF?
  300.  
  301.   (Thanks to Douglas Yarrington <arri@ee.eng.ohio-state.edu> and Harry
  302.   Langenbacher <harry@neuronz.Jpl.Nasa.Gov>, for feedback here.)
  303.  
  304.   CIF:
  305.  
  306.   CIF stands for CalTech Intermediate Form. It's a graphics language which
  307.   can be used to describe integrated circuit layouts.
  308.  
  309.   (from Jeffrey C. Gealow <jgealow@mtl.mit.edu>)
  310.  
  311.   The definitive description of the Caltech Intermediate Form (CIF Version
  312.   2.0) is included in Mead and Conway's book:
  313.  
  314.           @book{mead80,
  315.             author = "Carver A. Mead and Lynn A. Conway",
  316.             title = "Introduction to {VLSI} Systems",
  317.             publisher = "Addison-Wesley",
  318.             address = "Reading, Massachusetts",
  319.             year = 1980,
  320.             call = "TK7874.M37",
  321.  
  322.   A brief description is included in Rubin's book:
  323.  
  324.           @book{rubin87,
  325.             author = "Steven M. Rubin",
  326.             title = "Computer Aids for {VLSI} Design",
  327.             publisher = "Addison-Wesley",
  328.             address = "Reading, Massachusetts",
  329.             year = 1987,
  330.             call = "TK7874.R83",
  331.             isbn = "0-201-05824-3"}
  332.  
  333.   Rubin's description should not be considered authoritative.  Parts of the
  334.   description are not accurate.
  335.  
  336.   cif2ps  version 2 (Gordon W. Ross, MITRE):
  337.  
  338.   A much better version of cif2ps, extending the code of cif2ps (Marc
  339.   Lesure, Arizona State University) and cifp (Arthur Simoneau, Aerospace
  340.   Corp).  It features command line options for depth and formatting.  Can
  341.   extend one plot over several pages (up to 5 by 5, or 25 pages). By
  342.   default, uses a mixture of postscript gray fill and cross-hatching.
  343.   Options include rotating the image, selecting the hierarchy depth to
  344.   plot, and plotting style customization.  Plots are in B/W only.
  345.  
  346.   It was posted to comp.sources.misc, and is available by ftp from
  347.   ftp://ftp.uu.net/usenet/comp.sources.misc/volume8/cif2ps.Z (192.48.96.9).
  348.  
  349.   cifplot:
  350.  
  351.   Cifplot plots CIF format files on a screen, printer or plotter.  Cifplot
  352.   reads the .cif file, generates a b/w or color raster dump, and sends it
  353.   to the printer.  Plots can be scaled, clipped, or rotated.  Hierarchy
  354.   depth is selectable, as well as the choice of colormap or fill pattern.
  355.   An option exists which will compress raster data to reduce the required
  356.   disk space.  For those plotting to a Versatec plotter, there is also a
  357.   printer filter/driver available called vdmp.
  358.  
  359.   oct2ps (available as part of the octtools distribution):
  360.  
  361.   It is possible to convert your .mag file to octtools, and then you may
  362.   use oct2ps to print it.
  363.  
  364.   Both cif2ps and oct2ps work well for conversion to postscript.  They do
  365.   look slightly different, so pick your favorite.  Note that cif2ps can be
  366.   converted to adobe encapsulated postscript easily by adding a bounding
  367.   box comment.  oct2ps does convert to color postscript, which can be a
  368.   plus for those of you with color postscript printers.
  369.  
  370.   Flea:
  371.  
  372.   Flea ([F]un [L]oveable [E]ngineering [A]rtist) is a program used to plot
  373.   magic and cif design files to various output devices. Parameters are
  374.   passed to flea through the flags and flag data or through .flearc files
  375.   and tech files.  Supports: HP7580 plotter, HP7550 hpgl file output,
  376.   HP7550 plotter lpr output, Postscript file output, Laser Writer lpr out-
  377.   put, Versatec versaplot random output.  Options include: Does line draw-
  378.   ings with crosshatching for postscript, versatec, and hp plotters.  Many
  379.   options (depth, label depth, scale, path, format...)
  380.  
  381.   Available by ftp from ftp://zeus.ee.msstate.edu/pub/flea.1.4.1.tar.Z .
  382.  
  383.   pplot:
  384.  
  385.   Can output color PostScript from CIF files. The source is available from:
  386.   ftp://anise.ee.cornell.edu/pub/cad/pplot.tar.Z . It only generates PS
  387.   files (including color PS), and there's no support for EPS files.  It is
  388.   limited in its support of cif commands.  (Wire, roundflash, and delete
  389.   are not supported.)  It only supports manhattan geometry (Polygons and
  390.   rotations may only be in 90 degree multiples.)
  391.  
  392.   vic:
  393.  
  394.   Part of the U. of Washington's Northwest Lab, for Integrated Systems Cad
  395.   Tool Release (previously UW/NW VLSI Consortium).  Does postscript and HP
  396.   pen plotters.  Only available as part of the package.
  397.  
  398.   CIF/Magic -> EPS -> groff/latex
  399.  
  400.   Currently no prgram here directly generates EPS files.  It is possible to
  401.   add an EPS bounding box (%% BoundingBox: l t b r) to the output from
  402.   these programs to get an EPS file.  Alternatively, ps2eps or ps2epsf may
  403.   be used.
  404.  
  405.   CIF display on PCs
  406.  
  407.   LaSy
  408.  
  409.   (from Frank Bauernoeppel <bauernoe@informatik.hu-berlin.de>)
  410.  
  411.   The primary goal of LaSy was to implement a simple CIF layout viewer
  412.   under MS-Windows.
  413.  
  414. Requirements:
  415.   MS-Windows 3.1 in extended mode or Windows-NT. Hi-resolution colour
  416.   display, mouse, and a colour-printer are recommended. Note that there is
  417.   a special Windows-NT version of LaSy included: lasy32.exe featuring 32-
  418.   bit integer coordinates.
  419.  
  420. Input:
  421.   A CIF file plus appropriate layer description (.lay file). Sample layer
  422.   descriptions are included.  You probably have to adapt them to your tech-
  423.   nology.  CIF description see "Introduction to VLSI systems" by Mead and
  424.   Conway.  Several restrictions apply (cf. online help).
  425.  
  426. Output:
  427.   A layout window for visual inspection/measurements of the layout.
  428.   Printer output using Windows printing mechanism, works fine.  Clipboard
  429.   copy in bitmap and metafile format. The metafile is a flat, object
  430.   oriented layout representation understood by many applications. Can be
  431.   postprocessed with MSDraw among others.  The bitmap gives a pixel
  432.   oriented view of the layout (at screen resolution) and can be postpro-
  433.   cessed by most "Painting programs".
  434.  
  435.   I have repacked the archive for distribution (some designs removed).
  436.  
  437.   The new url is: <URL:ftp://ftp.informatik.hu-
  438.   berlin.de/pub/local/hulda/lasy25.zip>
  439.  
  440.   Two references that describe the CIF file formats are:
  441.  
  442.           Introduction to VLSI Systems, Mead & Conway, 1980, p115
  443.           and
  444.           Basic VLSI Design, Pucknell & Eshraghian, 1988, p 275
  445.  
  446. 5: What tools are used to layout verification?
  447.  
  448.   Gemini:
  449.  
  450.   Gemini is a graph isomorphism tool for comparing circuit wirelists.  The
  451.   latest version of Gemini is 2.7 and is now available by FTP from
  452.   shrimp.cs.washington.edu (128.95.1.99).  Note: Gemini is not available by
  453.   anonymous FTP.  Send email to Larry McMurchie (larry@cs.washington.edu)
  454.   if you need the FTP login and password for Gemini.
  455.  
  456.   Version 2.7 includes a new SIM file format to support four-terminal MOS
  457.   transistors.  This format is called 'LBL' and was inspired by Mario
  458.   Aranha at Lawrence Berkeley Labs.  Also some minor bugs have been fixed
  459.   concerning portability.  The user guide 'gemuser.ps' has been updated to
  460.   reflect the changes to the code.
  461.  
  462.   Gemini compiles and runs on a wide variety of architectures, including
  463.   Sparc, Mips, DEC AXP, HP, KSR, Intel i860, MC 68020 and VAX, under both
  464.   Classic C and ANSI C compliant compilers.  As the number of architectures
  465.   continues to expand, new portability problems are revealed.  Please keep
  466.   us informed if you encounter any portability problems or bugs.
  467.  
  468.   Contact:
  469.  
  470.           Larry McMurchie
  471.           Computer Science Department, FR-35
  472.           University of Washington
  473.           Seattle, WA  98195
  474.           larry@cs.washington.edu
  475.  
  476.   Tanner LVS:
  477.  
  478.   This is a relatively inexpensive commercial product, see the section on
  479.   Tanner tools.
  480.  
  481.   Wellchecker:
  482.  
  483.   (from MUG) ftp ftp.mosis.edu (128.9.0.32)
  484.  
  485.   netcmp:
  486.  
  487.   Part of the caltech tools (see the "Caltech VLSI CAD Tools" section)
  488.  
  489. 6: EDIF data exchange format.
  490.  
  491.   (from Mark Lambert <lambert@cs.man.ac.uk>)
  492.  
  493.   The Electronic Design Interchange Format (EDIF) is the most widely used
  494.   EDA standard and is used to interchange design data between CAD systems.
  495.  
  496.   The language is a standard under the auspices of the Electronic Indus-
  497.   tries Association (the `EIA'), a US based industry association, responsi-
  498.   ble for a number of electronics related standards. EDIF Version 3 0 0,
  499.   used for the transfer of connectivity and schematic information, has also
  500.   become an IEC standard; IEC 1690.
  501.  
  502.   The latest version of EDIF, Version 4 0 0, promises to add to EDIF Ver-
  503.   sion 3 0 0 in the areas of; PCB and MCM Capabilities, Technology Rules
  504.   and Manufacturing Drawings
  505.  
  506.   EDIF Version 4 0 0 is currently out for ballot, until 28th May 1996, as
  507.   EDIF Version 3 9 9.
  508.  
  509.   EDIF Version 3 9 9 documentation is supplied in CD-ROM form or on paper
  510.   directly from the EIA or Framemaker4 hypertext format directly from the
  511.   EDIF Technical Centre, on behalf of the EIA. To review the material, the
  512.   FrameViewer software is required. To obtain a copy of EDIF Version 3 9 9
  513.   (4 0 0), contact either Patti Rusher of the EIA or the EDIF Technical
  514.   Centre for detailed instructions.
  515.  
  516.   The EDIF Version 2 0 0 Reference Manual and User Guides and copies of the
  517.   manuals or CD-ROM for EDIF Version 3 0 0 can be obtained from the Elec-
  518.   tronic Industries Association, Attn. Patti Rusher.
  519.  
  520.   For more EDIF related information visit the EDIF Web site:
  521.  
  522.           http://www.edif.org/
  523.  
  524.   and the anonymous ftp server:
  525.  
  526.           ftp://edif.cs.man.ac.uk/pub/edif
  527.  
  528.   An ftpmail server is provided for those without ftp access. Send an empty
  529.   email message to: ftpmail@cs.man.ac.uk ; a message describing the com-
  530.   mands which can be used in further email messages to retreive files will
  531.   be sent to you.
  532.  
  533.   An electonic mailing list is available to people interested in EDIF and
  534.   for EDIF developers/programmers. Send email to edif-users-
  535.   request@cs.man.ac.uk to be added.
  536.  
  537.           Patti Rusher at the EIA can be contacted at:
  538.                Patti Rusher
  539.                2500 Wilson Boulevard, Suite 203
  540.                Arlington, VA 22201, U.S.A.
  541.  
  542.                Telephone: +1 703 907 7545
  543.                Fax: +1 703 907 7501
  544.                E-mail: pattir@eia.org
  545.  
  546.           The EDIF Technical Centre can be contacted at:
  547.                EDIF Technical Centre, Department of Computer Science,
  548.                University of Manchester, Manchester M13 9PL, UK
  549.  
  550.                Tel: +44 161 275 6289
  551.                FAX: +44 161 275 6280
  552.                E-mail: edif-support@cs.man.ac.uk
  553.                URL: http://www.cs.man.ac.uk/cad/EDIFTechnicalCentre/
  554.  
  555. 7: What layout examples are available?
  556.  
  557.   From MUG:
  558.  
  559.   Analog neural network library of cells, 66-bit Manchester carry-skip
  560.   adder, static ram fabricated at 2-micron, an analog op amp, from
  561.   ftp://ftp.mosis.edu/pub/mug .
  562.  
  563. 8: How can I get my lsi design fabbed and how much will it cost?
  564.  
  565.   See section on mosis fabrication services as well.
  566.  
  567.   (From MUG 20 George Lewicki of Orbit Semiconductor)
  568.  
  569.   Orbit Semiconductor operates an integrated circuit prototyping service
  570.   that accepts designs each week for all of its processes.  The service is
  571.   available to both U.S. and non-U.S. designers. In- quiries about the
  572.   FORESIGHT prototyping service should be ad- dressed to George Lewicki.
  573.   Designs can now be submitted directly via email.
  574.  
  575.               Orbit Semiconductor, Inc.
  576.               1215 Bordeaux Drive
  577.               Sunnyvale, CA 94089
  578.               TEL: (408)-744-1800
  579.               FAX: (408)-747-1263
  580.               Email: foresight@orbsemi.com
  581.  
  582.   (Contributed by Don Bouldin of the University of Tennessee)
  583.  
  584.   Recently, I contacted several foundries to determine  which  com- panies
  585.   are  interested  in fabricating small to moderate lots of wafers for cus-
  586.   tom CMOS designs.  I believe many of the readers of this  column are
  587.   designers who wish to have fabricated only 1,000 to 20,000 parts per
  588.   year.  There are currently several  prototyp- ing  services  (e.g. MOSIS
  589.   and Orbit) that can produce fewer than 100 parts for about $100 each and
  590.   there are  also  several  foun- dries  which  are willing to produce
  591.   100,000 custom parts for $5- $20 each (depending on the die size and
  592.   yield).  My  purpose  was to  identify  those companies filling the large
  593.   gap between these two services.
  594.  
  595.   The prices in the table below are a result of averaging the  data sup-
  596.   plied by four foundries.  The raw data varied by more than +/- 40% so the
  597.   information should be used only in the early stages of budgetary  plan-
  598.   ning.   Once  the design specifications are fairly well known, the
  599.   designer should contact one or more foundries  to obtain  specific
  600.   budgetary  quotes.  As the design nears comple- tion, binding quotes can
  601.   then be obtained.
  602.  
  603.   The following assumptions were made by the foundries:
  604.  
  605.   All designs will require custom CMOS wafer  fabrication  using  a
  606.   double-metal, single-poly process with a feature size between 2.0 and 1.2
  607.   microns.  The designs may contain some  analog  circuitry and  some  RAM
  608.   so the yield has been calculated pessimistically.  The dies will be pack-
  609.   aged and tested at 1  MHz  using  a  Sentry- type digital tester for 5-10
  610.   seconds per part.  The customer will furnish the test vectors.
  611.  
  612.           Piece Price includes Wafer Fabrication+Die Packaging+Part Testing
  613.           Size        Package                      Quantity
  614.  
  615.                                  |1,000 | 5,000 | 10,000 | 20,000  |100,000
  616.           -----------------------------------------------------------------
  617.           2 mm x 2 mm; 84 PLCC:  | $ 27 | $  6  |  $  5  |  $  4   | $  3 |
  618.           5 mm x 5 mm; 84 PLCC:  | $ 31 | $ 12  |  $  8  |  $  7   | $  6 |
  619.           5 mm x 5 mm; 132 PGA:  | $ 49 | $ 30  |  $ 25  |  $ 22   | $ 18 |
  620.           7 mm x 7 mm; 132 PGA:  | $ 65 | $ 44  |  $ 36  |  $ 31   | $ 27 |
  621.  
  622.           Lithography charges:  $ 20,000 - $ 40,000
  623.           Preferred Formats:  GDS-II or  CIF Tapes
  624.           Additional charges for Second-Poly:  $ 5,000
  625.  
  626.   (This is from MUG 19, there is also a list of foundries that these prices
  627.   were derived from.  In the interested of saving space, I have ommitted
  628.   the list.  The list is available from MUG's ftp site included in MUG
  629.   newsletter #19.)
  630.  
  631. 9: Mosis fabrication services.
  632.  
  633.   (From Mosis) Information is available from mosis for pricing and fab
  634.   schedules through an automatic email system:
  635.  
  636.   Mail to mosis@mosis.edu with the message body as follows:
  637.  
  638.           REQUEST: INFORMATION
  639.           TOPIC: TOPICS
  640.           REQUEST: END
  641.  
  642.   for general information and a list of available topics.
  643.  
  644.   If you need to contact a person at mosis, you may mail to mosis@mosis.edu
  645.   with REQUEST: ATTENTION.
  646.  
  647.   Also anonymous ftp is available. ftp to ftp.mosis.edu.  This is a dupli-
  648.   cation of all files that are available from the mail server.
  649.  
  650.   (From MUG 20 Contributed by Don Bouldin of the University of Tennessee)
  651.  
  652.   Multi-project fabrication of BICMOS designs are already available to
  653.   European universities via CMP and to Canadian universities via the Cana-
  654.   dian Microelectronic Corporation.  However, in the United States, the
  655.   demand for BiCMOS fabrication via MOSIS has not been considered signifi-
  656.   cant.  MOSIS is currently planning to start offering 0.5-micron BiCMOS
  657.   during the first quarter of 1994. This will have a core voltage operation
  658.   of 3.3v and a clock frequency in the range of 220-250Mhz.  MOSIS is
  659.   interested in seeing if a larger demand exists in the community than
  660.   expressed so far.
  661.  
  662.   If you would like to have BiCMOS available before 1994, please send a
  663.   short note to mosis@mosis.edu (with a copy to bouldin@sun1.engr.utk.edu)
  664.   using the following format.
  665.  
  666.                REQUEST:  ATTENTION
  667.                           .
  668.                           .
  669.                    your message goes here
  670.                           .
  671.                           .
  672.                REQUEST: END
  673.  
  674.   (From MUG 20 and Chris Donham of the University of Pennsylvania)
  675.  
  676.   Support for mosis technologies under Cadence Analog Artist 2.4 is avail-
  677.   able as is from University of Pennsylvania.  This includes DRC, LVS, EXT,
  678.   and a beginner's guide.  Currently they are working on support for Opus
  679.   4.2.  The files supporting Artist 2.4 are currently available via
  680.   anonymous FTP.  Penn is not affiliated with MOSIS, except as a satisfied
  681.   customer, and as a result, NO WARRANTY IS EXPRESSED OR IMPLIED WITH
  682.   REGARDS TO THE FILES, OR THEIR FITNESS FOR ANY USE.  Use the files at
  683.   your own risk.  To obtain the files, FTP to axon.ee.upenn.edu
  684.   (130.91.6.208), using the name "anonymous" and your mailing address as
  685.   the password.  The files are in the "pub" directory.
  686.  
  687.   Penn is in the process of switching from Artist 2.4 to Opus 4.2.  The
  688.   manual is being rewritten, and the support files are being updated.
  689.   Technology files supporting DRC, Extract, and Compare are currently in
  690.   beta-test.  If problems or bugs are detected, please send email to
  691.   "cadence@axon.ee.upenn.edu".
  692.  
  693. 10: Archive sites for comp.lsi.cad and comp.lsi
  694.  
  695.   (None of these are comprehensive archives, rather, they have about 3
  696.   postings each)
  697.  
  698.   comp.lsi.cad:
  699.   ftp://cnam.cnam.fr/pub/Archives/comp.archives/auto/comp.lsi.cad
  700.   ftp://cs.dal.ca/pub/comp.archives/comp.lsi.cad
  701.   ftp://srawgw.sra.co.jp/.a/sranha-bp/arch/arch/comp.archives/auto/comp.lsi.cad
  702.  
  703. 11: Other newsgroups and information sources that relate to comp.lsi*
  704.  
  705.   alt.cad
  706.   comp.cad.cadence
  707.   comp.lang.verilog
  708.   comp.lang.vhdl
  709.   comp.sys.mentor
  710.   sci.electronics
  711.  
  712.   The following gopher link points to a collection of information from
  713.   pulled from newsgroups like comp.lsi.cad, comp.lsi, and other cad related
  714.   sources.
  715.  
  716.   gopher://kona.ee.pit.edu/
  717.  
  718. 12: Simulation programs tips/tricks/bugs
  719.  
  720.   Berkeley spice:
  721.  
  722.   Pspice:
  723.  
  724.   Hspice:
  725.  
  726.   If your simulation won't converge for a given DC input, you can ramp the
  727.   input and print the DC operating point and then set the nodes that way
  728.   for future simulations.
  729.  
  730.   A number of documents are available for information on BSIM model parame-
  731.   ters: (from Mark Johnson, as posted to comp.lsi <mjohnson@netcom.com>)
  732.  
  733.   1. The very best written description I have seen is in a software manual.
  734.      The good news is that this manual is free; the bad news is that you
  735.      have to buy the multi-thousand-dollar program in order to get the free
  736.      manual.  The program is HSPICE from Meta-Software Inc (Campbell,
  737.      Calif., USA).  The HSPICE User's Manual, chapter 7, gives all the
  738.      details you'd ever want to know regarding BSIM parameters.
  739.  
  740.   2. The second best description I have seen of BSIM is in, strangely
  741.      enough, a manual for BSIM2 (!).  It is available from the University
  742.      of California at Berkeley.  Telephone (510)-643-6687 and they will
  743.      give you instructions on how to buy the manual.  (They'll probably
  744.      suggest that you might want to buy some software too).
  745.  
  746.              J.S. Duster, M.C. Jeng, P.K. Ko, and C. Hu, "Users
  747.              Guide for the BSIM2 Parameter Extraction Program and
  748.              the SPICE3 with BSIM Implementation"
  749.  
  750.   3. You can learn some things about BSIM parameters by reading about pro-
  751.      grams which extract the parameters from measured data.  UC Berkeley
  752.      offers several programs and manuals for this.  The one that I person-
  753.      ally prefer is
  754.  
  755.              M.C. Jeng, B.J. Sheu, and P.K. Ko: "BSIM Parameter
  756.              Extraction - Algorithms and User's Guide," Memo
  757.              No. UCB/ERL M85/79, 7 October 1985.
  758.  
  759.   4. Next, look at Sheu's Ph.D. thesis.  He is the guy who combined the
  760.      Bell Labs CSIM model with a bunch of other published equations, and
  761.      formulated BSIM.  It's available from the same phone number.
  762.  
  763.              B.J. Sheu, "MOS Transistor Modelling and Characterization
  764.              for Circuit Simulation", Memo No. UCB/ERL M85/85,
  765.              26 October 1985
  766.  
  767.   5. The worst description (in +my+ opinion of course) is unfortunately in
  768.      the most-accessible publication.  To save space in the journal they
  769.      left out some parameter discussions and (again in my opinion) produced
  770.      a disjointed, not-fully- informative paper.  Others may have different
  771.      views, naturally.
  772.  
  773.              B.J. Sheu, D.L. Scharfetter, P-K Ko, M-C Jeng, "BSIM:
  774.              Berkeley Short-Channel IGFET Model for MOS Transistors,"
  775.              IEEE Journal of Solid-State Circuits, Vol SC-22, No. 4,
  776.              August 1987, pp. 558-565.
  777.  
  778. 13: Getting the latest version of the FAQ:
  779.  
  780.   Mail to lsi-faq-request@ece.ucdavis.edu with the subject "send faq".
  781.  
  782.   If you wish to be added to the FAQ mailing list, send a note to lsi-faq-
  783.   request@ece.ucdavis.edu with subject heading 'subscribe'. You will then
  784.   have the FAQ regularly emailed to the return address of the note. Like-
  785.   wise, use the subject heading 'unsubscribe' to be removed from the list.
  786.  
  787.   This FAQ is now cross-posted to news.answers and comp.answers. This news-
  788.   group is archived periodically on
  789.   ftp://rtfm.mit.edu/pub/usenet/news.answers/lsi-cad-faq [18.181.0.24].
  790.   Postings are archived as "part1" through "part4".
  791.  
  792.   Our FAQ is also available through the WWW pages.  You can access it at
  793.   <URL:http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html> .  I sug-
  794.   gest this site above the one listed below, since ours is hyper-text for-
  795.   matted and the site below is essentially just a text to html conversion
  796.   with no table of contents.
  797.  
  798.   (from Thomas A. Fine <fine@cis.ohio-state.edu>)
  799.  
  800.   WWW I maintain an "archive" of news.answers available via WWW.  As a
  801.   matter of fact, I used WWW to read through your posting just last week.
  802.   I found it very informative; thanks much.  Advertise the following refer-
  803.   ence to get to the archive in general:
  804.     <URL:http://www.cis.ohio-state.edu:80/hypertext/faq/usenet/FAQ-
  805.   List.html>
  806.  
  807.   or to get to your particular FAQ, give out this reference:
  808.     <URL:http://www.cis.ohio-state.edu:80/hypertext/faq/usenet/lsi-cad-
  809.  
  810.   faq/top.html>
  811.  
  812.   Gopher The news.answers introduction (which I pulled up in WWW ;-) lists
  813.   the following gopher sites for the FAQs:
  814.  
  815.               cc1.kuleuven.ac.be port 70
  816.               jupiter.sun.csd.unb.ca port 70
  817.               gopher.univ-lyon1.fr, port 70
  818.               ftp.win.tue.nl, port 70
  819.               gopher.win.tue.nl, port 70
  820.               kona.ee.pitt.edu 70
  821.  
  822.   To reference gopher from Mosaic, us the following reference:
  823.     gopher://kona.ee.pitt.edu WAIS
  824.  
  825.   I pulled this straight out of the news.answers Introduction:
  826.  
  827.   Note that the periodic posting archives on rtfm.mit.edu are also accessi-
  828.   ble via WAIS (the database name is "usenet" on port 210).  If you don't
  829.   know what WAIS is, don't worry about it, although you can look in
  830.   comp.infosystems.wais if you're curious.  And don't write to us and ask,
  831.   please; we unfortuately already have too many things to deal with without
  832.   having to answer questions about other people's software.
  833.  
  834. 14: Converting from/to GDSII/CIF/Magic
  835.  
  836.   Magic version 6.3 is capable of reading and writting to all three for-
  837.   mats.  (From the magic man page):
  838.  
  839.   calma [option] [args]
  840.  
  841.   This command is used to read and write files in Calma GDS II Stream for-
  842.   mat (version 3.0, corresponding to GDS II Release 5.1).  This format is
  843.   like CIF, in that it describes physical mask layers instead of Magic
  844.   layers.  In fact, the technology file specifies a correspondence between
  845.   CIF and Calma layers.  The current CIF output style (see cif ostyle) con-
  846.   trols how Calma stream layers are generated from Magic layers.
  847.  
  848.   (from Jeffrey C. Gealow <jgealow@mtl.mit.edu>)
  849.  
  850.   Calma Company sold their electronics CAD/CAM software (GDS II) to Valid
  851.   Logic Systems which later merged with Cadence.
  852.  
  853.   Cadence has added a few extensions.  A Cadence document is almost identi-
  854.   cal to the old Calma Company document:
  855.  
  856.           Cadence Design Systems, Inc.
  857.  
  858.           Construct Stream Format
  859.           Reference
  860.  
  861.           Version 4.0
  862.           August 1991
  863.  
  864.           900-001094
  865.  
  866.   An overview of the Stream format is included in Rubin's book:
  867.  
  868.           @book{rubin87,
  869.             author = "Steven M. Rubin",
  870.             title = "Computer Aids for {VLSI} Design",
  871.             publisher = "Addison-Wesley",
  872.             address = "Reading, Massachusetts",
  873.             year = 1987,
  874.             call = "TK7874.R83",
  875.             isbn = "0-201-05824-3"}
  876.  
  877.   cif [option] [args]
  878.  
  879.   Read or write files in Caltech Intermediate Form (CIF).
  880.  
  881. 15: CFI (CAD Framework Initiative Inc.)
  882.  
  883.   (From Randy Kirchhof <rkk@cfi.org>)
  884.  
  885.               CFI quick FAQ guide for release 1.0, v1.1
  886.  
  887.   For those of you who may be unfamiliar with our work, The CAD Framework
  888.   Initiative Inc. was formed in May 1988. We're located in Austin, TX,
  889.   although we're a distributed company. We're a  not-for-profit consortium
  890.   formed under the laws of the state of Delaware.  Our mission is to pro-
  891.   vide industry-accepted standards and technology that enable interopera-
  892.   bility of electronic design automation (EDA) applications and data for
  893.   end-users and suppliers world-wide.  This includes interoperability
  894.   between EDA applications as well as the integration of EDA applications
  895.   into CAD frameworks.
  896.  
  897.   A CAD framework is a software infrastructure which provides a common
  898.   operating environment for CAD tools.  Through a framework, a user should
  899.   be able to launch and manage tools, create, organize, and manage data,
  900.   graphically view the entire design process and perform design management
  901.   tasks such as configuration management, version management, etc.  CFI
  902.   Release 1.0 started shipping in January 1993.
  903.  
  904.   Q      When can users buy CFI compliant tools?
  905.  
  906.   A      Eleven vendor companies have announced EDA products and frameworks
  907.          which will be available and compliant with CFI 1.0 standards. CFI
  908.          has initiated a formal certification program for these (and future
  909.          products) as of 12/93. CFI expects to begin awarding the first
  910.          certification brand marks in the first quarter of 1994.  We expect
  911.          to see a rapid expansion of compliant products beginning in the
  912.          third quarter of 1994.
  913.  
  914.   Q      How can the Standards be obtained?  Are there any restrictions?
  915.  
  916.   A      The 1.0 Standards, copyrighted by CFI, are available to members
  917.          and non-members priced as a set or individually through CFI Member
  918.          Services (512) 338-3739.  They will also being distributed under
  919.          license by Cadence, Mentor Graphics, and Viewlogic as part of
  920.          their product documentation.  Versions of the 1.0 Standards are
  921.          available on diskette in an electronic format as well as bound
  922.          manuals.
  923.  
  924.   Q      How do the CFI Standards relate to vendor framework programs like
  925.          Mentor's Open Door, Viewlogic Power Team and Cadence Connection
  926.          Partners - with so many point tool vendors participating, don't
  927.          they have this problem solved?
  928.  
  929.   A      The major EDA vendors have been and continue to be challenged by
  930.          their customers over multi-vendor integration.  These programs
  931.          were a practical response by opening up their existing interfaces
  932.          and providing services to assist integration.  CFI 1.0, and future
  933.          releases, will create a functional alternative to a growing subset
  934.          of those interfaces so that the requirement that point tool ven-
  935.          dors create partnership specific versions of their tool will
  936.          decrease.  Actually, the service provided through these programs
  937.          will likely compliment the CFI certification effort as these
  938.          supplier's frameworks become fully certified.
  939.  
  940. Contact: cfi@cfi.org (CFI Member Services, Jean Gallagher) CFI Main number:
  941. (512) 338-3739   Fax: (512) 338-3853
  942.  
  943. 16: What synthesis systems are there?
  944.  
  945.   Thanks to Simon Leung <sleung@sun1.atitech.ca>, Michel Berkelaar
  946.   <michel@ele.tue.nl>, Noritake Yonezawa <yonezawa@cs.uiuc.edu>, Donald A
  947.   Lobo <lobo@guardian.cs.psu.edu>, Greg Ward <gregw@bnr.ca>, Peter Duzy,
  948.   Robert Walker <walkerb@turing.cs.rpi.edu>, Heinrich Kraemer
  949.   <kraemer@fzi.de>, Luciano Lavagno <luciano@ic.eecs.berkeley.edu>
  950.  
  951.   ADPS
  952.   - Case Western Reserve University, USA
  953.   - scheduling and data path allocation
  954.   - Papachristou, C.A. et al.: "A Linear Program Driven Scheduling and
  955.     Allocation Method Followed by an Interconnect Optimization Algorithm",
  956.     Proc. of the 27th DAC, pp. 77-83, June 1990.
  957.  
  958.   ALPS/LYRA/ARYL
  959.   - Tsing Hua University
  960.   - scheduling and data path allocation
  961.   - Lee, J-H: et al.: "A New Integer Linear Programming Formulation of
  962.     the Scheduling Problem in Data Path Synthesis", Proc. of ICCAD89, pp.
  963.     20-23, November 1989.
  964.  
  965.   BDSYN
  966.   - University of California, Berkeley, USA
  967.   - FSM synthesis from DECSIM language for multilevel combination-logic
  968.     realization
  969.   - Brayton, R.: "Multiple-level Logic Optimization System",  Proc. of IEEE
  970.     ICCAD, Santa Clara, Nov. 1986
  971.  
  972.   BECOME
  973.   - AT & T Bell Labs, USA
  974.   - FSM synthesis from C-like language for PLA, PLD and standard cell realization
  975.   - Wei, R-S.: "BECOME: Behavior Level Circuit Synthesis Based on Structure
  976.     Mapping", Proc. of 25th ACM/IEEE Design Automation Conference, pp. 409-414,
  977.     IEEE, 1988
  978.  
  979.   BOLD
  980.   - logic optimization
  981.   - Bartlett, K. "Synthesis and Optimization of Multilevel Logic Under Timing
  982.     Constraints", IEEE Transactions on Computer-Aided Design, Vol 5, No 10,
  983.     October 1986
  984.  
  985.   BRIDGE
  986.   - AT & T Bell Labs, USA
  987.   - High-level synthesis FDL2-language descriptions
  988.   - Tseng: "Bridge: A Versatile Behavioral Synthesis System", Proc. of 25th
  989.     ACM/IEEE Design Automation Conference, pp. 415-420, IEEE, 1988
  990.