home *** CD-ROM | disk | FTP | other *** search
/ Chip 2007 November / CPNL0711.ISO / develop / tools / npp.4.2.2.Installer.exe / QuickText.ini < prev    next >
INI File  |  2007-08-07  |  5KB  |  162 lines

  1. [2]
  2. case=case $: $\n$
  3. def=#define $\n$
  4. default=default $: $\n$
  5. dowhile=do\n{\n    $\n}\nwhile ($);\n$
  6. dowhiles=do\n    $\nwhile ($);\n$
  7. else=else\n{\n    $\n}\n$
  8. elseif=else if ($)\n{\n    $\n}\n$
  9. elseifs=else if ($)\n    $\n$
  10. elses=else\n    $\n$
  11. for=for ($;$;$)\n{\n    $\n}\n$
  12. fors=for ($;$;$)\n    $\n$
  13. func=$ $($)\n{\n    $\n    return $;\n}\n$
  14. if=if ($)\n{\n    $\n}\n$
  15. ifelse=if ($)\n{\n    $\n}\nelse\n{\n    $\n}\n$
  16. ifelses=if ($)\n{\n    $\n}\nelse\n    $\n$
  17. ifs=if ($)\n    $\n$
  18. ifselse=if ($)\n    $\nelse\n{\n    $\n}\n$
  19. ifselses=if ($)\n    $\nelse\n    $\n$
  20. inc=#include <$>\n$
  21. incl=#include "$"\n$
  22. main=int main(int argc, char* argv[])\n{\n    $\n}\n
  23. switch=switch ($)\n{\n    $\n}\n$
  24. while=while ($)\n{\n    $\n}\n$
  25. whiles=while ($)\n    $\n$
  26. [3]
  27. case=case $: $\n$
  28. class=class $ {\nprivate:\n    $\nprotected:\n    $\npublic:\n    $\n};\n$
  29. def=#define $\n$
  30. default=default $: $\n$
  31. dowhile=do\n{\n    $\n}\nwhile ($);\n$
  32. dowhiles=do\n    $\nwhile ($);\n$
  33. else=else\n{\n    $\n}\n$
  34. elseif=else if ($)\n{\n    $\n}\n$
  35. elseifs=else if ($)\n    $\n$
  36. elses=else\n    $\n$
  37. for=for ($;$;$)\n{\n    $\n}\n$
  38. fors=for ($;$;$)\n    $\n$
  39. func=$ $($)\n{\n    $\n    return $;\n}\n$
  40. hclass=#ifndef $\n#define $\n\nclass $ {\nprivate:\n    $\nprotected:\n    $\npublic:\n    $\n};\n\n#endif\n$
  41. if=if ($)\n{\n    $\n}\n$
  42. ifelse=if ($)\n{\n    $\n}\nelse\n{\n    $\n}\n$
  43. ifelses=if ($)\n{\n    $\n}\nelse\n    $\n$
  44. ifs=if ($)\n    $\n$
  45. ifselse=if ($)\n    $\nelse\n{\n    $\n}\n$
  46. ifselses=if ($)\n    $\nelse\n    $\n$
  47. inc=#include <$>\n$
  48. incl=#include "$"\n$
  49. main=int main(int argc, char* argv[])\n{\n    $\n}\n
  50. switch=switch ($)\n{\n    $\n}\n$
  51. while=while ($)\n{\n    $\n}\n$
  52. whiles=while ($)\n    $\n$
  53. [8]
  54. b=<b>$</b>$
  55. black=#000000
  56. blue=#0000FF
  57. body=<body>\n    $\n</body>\n$
  58. comment=<!-- $ -->\n$
  59. cyan=#00FFFF
  60. form=<form name="$" action="$">\n    $\n</form>\n$
  61. green=#00FF00
  62. grey=#C0C0C0
  63. h1=<h1>$</h1>\n$
  64. h2=<h2>$</h2>\n$
  65. h3=<h3>$</h3>\n$
  66. h4=<h4>$</h4>\n$
  67. h5=<h5>$</h5>\n$
  68. h6=<h6>$</h6>\n$
  69. head=<head>\n    $\n</head>\n$
  70. html=<html>\n    <head>\n        <title>$</title>\n        $\n    </head>\n    <body>\n        $\n    </body>\n</html>\n$
  71. i=<i>$</i>$
  72. img=<img src="$" alt="$" />\n$
  73. input=<input type="$" name="$" />\n$
  74. li=<li>$</li>\n$
  75. link=<a href="$">$</a>$
  76. mangenta=#FF00FF
  77. ol=<ol>\n    <li>$</li>\n    $\n</ol>\n$
  78. p=<p>$</p>\n$
  79. pre=<pre>$</pre>\n$
  80. red=#FF0000
  81. span=<span>$</span>$
  82. table=<table>\n    <tr>\n        <td>$</td>\n        $\n    </tr>\n    $\n</table>\n$
  83. td=<td>$</td>\n$
  84. title=<title>$</title>\n$
  85. tr=<tr>\n    $\n</tr>\n$
  86. ul=<ul>\n    <li>$</li>\n    $\n</ul>\n$
  87. white=#FFFFFF
  88. yellow=#FFFF00
  89. [29]
  90. else=} else {\n $
  91. foreach=foreach $ $ {\n $\n}\n$
  92. if=if { $ } {\n $\n}\n$
  93. ifelse=if { $ }\n $\n} else {\n $\n}
  94. proc=# $\nproc $ { $ } {\n $\n}\n$
  95. regsub=regsub -all {$} "$" {$} $\n$
  96.  
  97. [38]
  98. com=--\n-- $\n--
  99. access=type $ is access $;\n$
  100. alias=alias $ is $;\n$
  101. archi=architecture $ of $ is\n$\nbegin\n$\nend $;\n$
  102. array=type $ is\n array ( $ ) of $;\n$
  103. assert=assert ( $ )\n report "$"\n sevrity $;\n$
  104. block=$ : block $\nbegin\n $\nend block $;\n$
  105. case=case $ is\n when $ =>\n $;\n when others =>\n $;\nend case;\n$
  106. component=component $\n port (\n $\n );\nend component $;\n$
  107. config=for $ : $\n use $\n $;\n$
  108. constant=constant $: $;\n$
  109. elsif=elsif ( $ ) then\n $;
  110. entity=entity $ is\n port (\n $\n );\nend $;\n$
  111. enum=type $ is ( $, $ );
  112. exit=exit $ when $;\n$
  113. file=file $ : $ is $;\n$
  114. for=$ : for $ in $ downto $ loop\n $;\nend loop $;\n$
  115. function=function (\n $\n )return $ is\n $\nbegin\n $\nend $;
  116. functiond=function $ (\n $\n) return $;\n$
  117. geneif=$ : if ( $ = $ ) generate\n $\nbegin\n $\nend generate $;
  118. generate=$ : for $ in $ downto $ generate\n $\nbegin\n $\nend generate $;\n$
  119. generic=generic (\n $\n );\n$
  120. genmap=generic map (\n $\n)\n$
  121. group=group $ is ( $ );\n$
  122. ieee=library ieee;\n use ieee.std_logic_1164.all;\n $
  123. if=if ( $ ) then\n $;\n$end if;\n$
  124. ifelse=if ( $ ) then\n $\nelse\n $\nend if;\n$
  125. ifelsif=if ( $ ) then\n $\nelsif ( $ )\n $\nend if;\n$
  126. loop=$ : loop\n $\nend loop;\n$
  127. map=$ : $\n port map (\n $\n );\n$
  128. mapgen=$ : $\n generic map (\n $\n )\n port map (\n $\n );\n$
  129. next=$ : next $ when $;\n$
  130. numeric=use ieee.numeric_std.all;\n$
  131. pack=package $ is\n $\nend package $;\n\npackage body $ is\n $\nend package body $;\n$
  132. port=port (\n $\n );\n$
  133. procedure=procedure $ (\n $\n ) is\n$\nbegin\n $\nend procedure $;\n$
  134. procedured=procedure $ (\n $\n);\n$
  135. process=$ : process ( $ )\nbegin\n $\nend process $;\n$
  136. proclk=$ : process ( $, $ )\n begin\n if ( $ = '$' ) then\n $ <= $;\n elsif( Rising_Edge( $ ) ) then\n $\n end if;\nend process $;
  137. protected=protected\n $\nend protected ;
  138. Ris=Rising_Edge ( $ )$
  139. record=type $ is\n record\n $ : $ ;\nend record $;\n$
  140. start=library ieee;\n use ieee.std_logic_1164.all;\n\nentity $ is\n port (\n $\n );\nend $;\n\narchitecture $ of $ is\n$\nbegin\n$\nend $;\n$
  141. std=signal $ : std_logic$;\n$
  142. stdpi=$ : in std_logic;\n$
  143. stdpio=$ : inout std_logic;\n$
  144. stdpo=$ : out std_logic;\n$
  145. stdtextio=use ieee.std_logic_textio.all;\n$
  146. stdv=signal $ : std_logic_vector( $ downto 0);\n$
  147. stdvar=variable $ : std_logic;\n$
  148. stdvpi=$ : in std_logic_vector( $ downto $);\n$
  149. stdvpio=$ : inout std_logic_vector( $ downto $);\n$
  150. stdvpo=$ : out std_logic_vector( $ downto $);\n$
  151. stdvvar=variable $ : std_logic_vector( $ downto 0);\n$
  152. subtype=subtype $ is $;\n$
  153. textio=use std.textio.all;\n$
  154. units=units\n $;\nend units;\n$
  155. waitf=wait for $;\n$
  156. waitu=wait until $;\n$
  157. waituf=wait until $ for $;\n$
  158. when=when $ =>\n $;$
  159. whene=when ( $ ) else $;
  160. while=$ : while $ loop\n $;\nend loop;\n$
  161. x=x"$"$
  162.