home *** CD-ROM | disk | FTP | other *** search
/ Crawly Crypt Collection 1 / crawlyvol1.bin / program / compiler / fasbin21 / doc / as1805.lp next >
Text File  |  1992-09-13  |  8KB  |  529 lines

  1.  
  2.  
  3.  
  4.                                   - 1 -
  5.  
  6.  
  7.  
  8.        A.  A✓A✓A✓Ap✓p✓p✓pp✓p✓p✓pe✓e✓e✓en✓n✓n✓nd✓d✓d✓di✓i✓i✓ix✓x✓x✓x f✓f✓f✓fo✓o✓o✓or✓r✓r✓r a✓a✓a✓as✓s✓s✓s1✓1✓1✓18✓8✓8✓80✓0✓0✓05✓5✓5✓5 F✓F✓F✓Fr✓r✓r✓ra✓a✓a✓an✓n✓n✓nk✓k✓k✓ke✓e✓e✓en✓n✓n✓ns✓s✓s✓st✓t✓t✓te✓e✓e✓ei✓i✓i✓in✓n✓n✓n A✓A✓A✓As✓s✓s✓ss✓s✓s✓se✓e✓e✓em✓m✓m✓mb✓b✓b✓bl✓l✓l✓le✓e✓e✓er✓r✓r✓r
  9.  
  10.        A.1  P✓P✓P✓Ps✓s✓s✓se✓e✓e✓eu✓u✓u✓ud✓d✓d✓do✓o✓o✓o O✓O✓O✓Op✓p✓p✓pe✓e✓e✓er✓r✓r✓ra✓a✓a✓at✓t✓t✓ti✓i✓i✓io✓o✓o✓on✓n✓n✓ns✓s✓s✓s
  11.  
  12.        A.1.1  _✓S_✓t_✓a_✓n_✓d_✓a_✓r_✓d__✓P_✓s_✓e_✓u_✓d_✓o__✓O_✓p_✓e_✓r_✓a_✓t_✓i_✓o_✓n__✓M_✓n_✓e_✓m_✓o_✓n_✓i_✓c_✓s
  13.  
  14.             End                                END
  15.             File Inclusion                     INCL INCLUDE
  16.             If                                 IF
  17.             Else                               ELSE
  18.             End If                             ENDI
  19.             Equate                             EQU
  20.             Set                                SET
  21.             Org                                ORG
  22.             Reserve Memory                     RESERVE RMB
  23.             Define Byte Data                   BYTE DB FCB
  24.             Define Word Data                   DW FDB WORD
  25.             Define String Data                 FCC STRING
  26.             Define Character Set Translation   CHARSET
  27.             Define Character Value             CHARDEF CHD
  28.             Use Character Translation          CHARUSE
  29.  
  30.        A.2  I✓I✓I✓In✓n✓n✓ns✓s✓s✓st✓t✓t✓tr✓r✓r✓ru✓u✓u✓uc✓c✓c✓ct✓t✓t✓ti✓i✓i✓io✓o✓o✓on✓n✓n✓ns✓s✓s✓s
  31.  
  32.        A.2.1  _✓I_✓n_✓s_✓t_✓r_✓u_✓c_✓t_✓i_✓o_✓n__✓L_✓i_✓s_✓t
  33.  
  34.        Opcode   Syntax               Selection Criteria
  35.  
  36.  
  37.        ADC
  38.  
  39.        ADCI      '#' expr
  40.  
  41.        ADD
  42.  
  43.        ADI       '#' expr
  44.  
  45.        AND
  46.  
  47.        ANI       '#' expr
  48.  
  49.        B1        expr
  50.  
  51.        B2        expr
  52.  
  53.        B3        expr
  54.  
  55.        B4        expr
  56.  
  57.        BCI       expr                TS1805
  58.  
  59.  
  60.  
  61.  
  62.  
  63.  
  64.  
  65.  
  66.  
  67.  
  68.  
  69.  
  70.                                   - 2 -
  71.  
  72.  
  73.  
  74.        Opcode   Syntax               Selection Criteria
  75.  
  76.        BDF       expr
  77.  
  78.        BGE       expr
  79.  
  80.        BL        expr
  81.  
  82.        BM        expr
  83.  
  84.        BN1       expr
  85.  
  86.        BN2       expr
  87.  
  88.        BN3       expr
  89.  
  90.        BN4       expr
  91.  
  92.        BNF       expr
  93.  
  94.        BNQ       expr
  95.  
  96.        BNZ       expr
  97.  
  98.        BPZ       expr
  99.  
  100.        BQ        expr
  101.  
  102.        BR        expr
  103.  
  104.        BXI       expr                TS1805
  105.  
  106.        BZ        expr
  107.  
  108.        CID                           TS1805
  109.  
  110.        CIE                           TS1805
  111.  
  112.        DACI      '#' expr            TS1805
  113.  
  114.        DADC                          TS1805
  115.  
  116.        DADD                          TS1805
  117.  
  118.        DADI      '#' expr            TS1805
  119.  
  120.        DBNZ      expr ',' expr       TS1805
  121.  
  122.        DEC       expr
  123.  
  124.        DIS
  125.  
  126.  
  127.  
  128.  
  129.  
  130.  
  131.  
  132.  
  133.  
  134.  
  135.  
  136.                                   - 3 -
  137.  
  138.  
  139.  
  140.        Opcode   Syntax               Selection Criteria
  141.  
  142.  
  143.        DSAV                          TS1805
  144.  
  145.        DSBI      '#' expr            TS1805
  146.  
  147.        DSM                           TS1805
  148.  
  149.        DSMB                          TS1805
  150.  
  151.        DSMI      '#' expr            TS1805
  152.  
  153.        DTC                           TS1805
  154.  
  155.        ETQ                           TS1805
  156.  
  157.        GEC                           TS1805
  158.  
  159.        GHI       expr
  160.  
  161.        GLO       expr
  162.  
  163.        IDL
  164.  
  165.        INC       expr
  166.  
  167.        INP       expr
  168.  
  169.        IRX
  170.  
  171.        LBDF      expr
  172.  
  173.        LBNF      expr
  174.  
  175.        LBNQ      expr
  176.  
  177.        LBNZ      expr
  178.  
  179.        LBQ       expr
  180.  
  181.        LBR       expr
  182.  
  183.        LBZ       expr
  184.  
  185.        LDA       expr
  186.  
  187.        LDC                           TS1805
  188.  
  189.        LDI       '#' expr
  190.  
  191.  
  192.  
  193.  
  194.  
  195.  
  196.  
  197.  
  198.  
  199.  
  200.  
  201.  
  202.                                   - 4 -
  203.  
  204.  
  205.  
  206.        Opcode   Syntax               Selection Criteria
  207.  
  208.        LDN       expr
  209.  
  210.        LDX
  211.  
  212.        LDXA
  213.  
  214.        LSDF
  215.  
  216.        LSIE
  217.  
  218.        LSKP
  219.  
  220.        LSNF
  221.  
  222.        LSNQ
  223.  
  224.        LSNZ
  225.  
  226.        LSQ
  227.  
  228.        LSZ
  229.  
  230.        MARK
  231.  
  232.        NBR      expr
  233.  
  234.        NLBR     expr
  235.  
  236.        NOP
  237.  
  238.        OR
  239.  
  240.        ORI       '#' expr
  241.  
  242.        OUT       expr
  243.  
  244.        PHI       expr
  245.  
  246.        PLO       expr
  247.  
  248.        REQ
  249.  
  250.        RET
  251.  
  252.        RLDI      expr ',' '#' expr   TS1805
  253.  
  254.        RLXA      expr                TS1805
  255.  
  256.        RNX       expr                TS1805
  257.  
  258.  
  259.  
  260.  
  261.  
  262.  
  263.  
  264.  
  265.  
  266.  
  267.  
  268.                                   - 5 -
  269.  
  270.  
  271.  
  272.        Opcode   Syntax               Selection Criteria
  273.  
  274.  
  275.        RSHL
  276.  
  277.        RSHR
  278.  
  279.        RSXD      expr                TS1805
  280.  
  281.        SAV
  282.  
  283.        SCAL      expr ',' expr       TS1805
  284.  
  285.        SCM1                          TS1805
  286.  
  287.        SCM2                          TS1805
  288.  
  289.        SD
  290.  
  291.        SDB
  292.  
  293.        SDBI      '#' expr
  294.  
  295.        SDI       '#' expr
  296.  
  297.        SEP       expr
  298.  
  299.        SEQ
  300.  
  301.        SEX       expr
  302.  
  303.        SHL
  304.  
  305.        SHLC
  306.  
  307.        SHR
  308.  
  309.        SHRC
  310.  
  311.        SKP
  312.  
  313.        SM
  314.  
  315.        SMB
  316.  
  317.        SMBI      '#' expr
  318.  
  319.        SMI       '#' expr
  320.  
  321.        SPM1                          TS1805
  322.  
  323.  
  324.  
  325.  
  326.  
  327.  
  328.  
  329.  
  330.  
  331.  
  332.  
  333.  
  334.                                   - 6 -
  335.  
  336.  
  337.  
  338.        Opcode   Syntax               Selection Criteria
  339.  
  340.        SPM2                          TS1805
  341.  
  342.        SRET      expr                TS1805
  343.  
  344.        STM                           TS1805
  345.  
  346.        STPC                          TS1805
  347.  
  348.        STR       expr
  349.  
  350.        STXD
  351.  
  352.        XID                           TS1805
  353.  
  354.        XIE                           TS1805
  355.  
  356.        XOR
  357.  
  358.        XRI       '#' expr
  359.  
  360.        A.2.2  _✓S_✓e_✓l_✓e_✓c_✓t_✓i_✓o_✓n__✓C_✓r_✓i_✓t_✓e_✓r_✓i_✓a__✓K_✓e_✓y_✓w_✓o_✓r_✓d_✓s
  361.  
  362.             TS1805              Instruction is only valid for the
  363.                                 1804A/1805A/1806A instruction sets.
  364.  
  365.        A.2.3  _✓A_✓p_✓o_✓s_✓t_✓r_✓o_✓p_✓h_✓e_✓s  The apostrophes in the syntax field are
  366.        a notation used for the parser generator and are not put in
  367.        the assembler source statement.
  368.  
  369.        A.3  N✓N✓N✓No✓o✓o✓ot✓t✓t✓te✓e✓e✓es✓s✓s✓s
  370.  
  371.        A.3.1  _✓I_✓n_✓s_✓t_✓r_✓u_✓c_✓t_✓i_✓o_✓n__✓S_✓e_✓t__✓S_✓e_✓l_✓e_✓c_✓t_✓i_✓o_✓n  The default is the 1805
  372.        instruction set. To restrict the instruction set, use the -p
  373.        1802 optional arguement on the command line, or rename or
  374.        link the program file with a name containing the string 1802
  375.        (or just "02").
  376.  
  377.        A.3.2  _✓R_✓e_✓g_✓i_✓s_✓t_✓e_✓r__✓a_✓n_✓d__✓P_✓o_✓r_✓t__✓e_✓x_✓p_✓r_✓e_✓s_✓s_✓i_✓o_✓n_✓s  The register and port
  378.        numbers are specified as expressions.  For registers, the
  379.        value must be between 0 and 15 (1 and 15 for the LDN
  380.        instruction).  For input/output ports, the value must be
  381.        between 1 and 7.  The value must be computable when
  382.        processed in the first pass.  The SET and EQU statements can
  383.        be used to setup symbols for registers and ports.  It is
  384.        recomended that a standard include file be setup to assign a
  385.        set of symbols (like R0 to R15) for registers.
  386.  
  387.  
  388.  
  389.  
  390.  
  391.  
  392.  
  393.  
  394.  
  395.  
  396.  
  397.  
  398.  
  399.  
  400.                                   - 7 -
  401.  
  402.  
  403.  
  404.        A.3.3  _✓B_✓r_✓a_✓n_✓c_✓h__✓T_✓a_✓r_✓g_✓e_✓t__✓E_✓x_✓p_✓r_✓e_✓s_✓s_✓i_✓o_✓n__✓V_✓a_✓l_✓i_✓d_✓i_✓t_✓y  The "expression
  405.        fails validity test" error message can occur if the
  406.        destination of a short branch is not on the same page as the
  407.        the last byte of the instruction.  The "expression exceeds
  408.        available field width" can also occur for this case.
  409.        Usually the validity message occurs when the destination is
  410.        at a lower page, and the width message occurs when the
  411.        destination is at a higher page.
  412.  
  413.        A.3.4  _✓I_✓m_✓m_✓e_✓d_✓i_✓a_✓t_✓e__✓D_✓a_✓t_✓a  The immediate data expressions for
  414.        the RLDI (as well as the arithmetic and logic operations)
  415.        are required to be on same line as the opcode.
  416.  
  417.        A.3.5  _✓R_✓e_✓s_✓e_✓r_✓v_✓e_✓d__✓S_✓y_✓m_✓b_✓o_✓l_✓s
  418.  
  419.        A.3.5.1  _✓S_✓t_✓a_✓n_✓d_✓a_✓r_✓d__✓R_✓e_✓s_✓e_✓r_✓v_✓e_✓d__✓S_✓y_✓m_✓b_✓o_✓l_✓s  AND DEFINED EQ GE GT
  420.        HIGH LE LOW LT MOD NE NOT OR SHL SHR XOR and defined eq ge
  421.        gt high le low lt mod ne not or shl shr xor
  422.  
  423.  
  424.  
  425.  
  426.  
  427.  
  428.  
  429.  
  430.  
  431.  
  432.  
  433.  
  434.  
  435.  
  436.  
  437.  
  438.  
  439.  
  440.  
  441.  
  442.  
  443.  
  444.  
  445.  
  446.  
  447.  
  448.  
  449.  
  450.  
  451.  
  452.  
  453.  
  454.  
  455.  
  456.  
  457.  
  458.  
  459.  
  460.  
  461.  
  462.  
  463.  
  464.  
  465.  
  466.  
  467.  
  468.  
  469.  
  470.                                  CONTENTS
  471.  
  472.  
  473.        A.  Appendix for as1805 Frankenstein Assembler...........  1
  474.            A.1  Pseudo Operations...............................  1
  475.            A.2  Instructions....................................  1
  476.            A.3  Notes...........................................  6
  477.  
  478.  
  479.  
  480.  
  481.  
  482.  
  483.  
  484.  
  485.  
  486.  
  487.  
  488.  
  489.  
  490.  
  491.  
  492.  
  493.  
  494.  
  495.  
  496.  
  497.  
  498.  
  499.  
  500.  
  501.  
  502.  
  503.  
  504.  
  505.  
  506.  
  507.  
  508.  
  509.  
  510.  
  511.  
  512.  
  513.  
  514.  
  515.  
  516.  
  517.  
  518.  
  519.  
  520.  
  521.  
  522.  
  523.  
  524.                                   - i -
  525.  
  526.  
  527.  
  528.  
  529.