home *** CD-ROM | disk | FTP | other *** search
/ GameStar 2001 December / Gamestar_35_2001-12_cd1.bin / PROGRAMY / cedt331.zip / data1.cab / Program_Files / spec / vhdl.key < prev    next >
INI File  |  2001-10-25  |  1KB  |  53 lines

  1. [-COMMENT-:GLOBAL]
  2. # VHDL LANGUAGE KEYWORD FILE
  3.  
  4. # CRIMSON EDITOR v3.1
  5. # FIRST EDITED BY Chang-woo,YANG 2001.5.11
  6. # =============================================================
  7. # = Here is a "PLDWorld.com"...       http://www.PLDWorld.com =
  8. # =                                     podongii@PLDWorld.com =
  9. # = oOOo oOOo =================================================
  10.  
  11. [KEYWORDS0:GLOBAL]
  12. abs access after alias all and architecture array assert attribute
  13. begin block body buffer bus
  14. case component configuration constant
  15. disconnect downto
  16. else elsif end entity exit
  17. file for function
  18. generate generic group guarded
  19. if impure in inertial inout is
  20. label library linkage literal loop
  21. map mod
  22. nand new net nor not null
  23. of on open or others out
  24. package port postponed procedure process pure
  25. range record register reject rem report return rol ror
  26. select severity shared signal sla sll sra srl subtype
  27. then to transport type
  28. unaffected units until use
  29. variable
  30. wait when while with
  31. xnor xor
  32.  
  33. [KEYWORDS1:GLOBAL]
  34. std_logic std_logic_vector std_ulogic std_ulogic_vector bit bit_vector integer BOOLEAN
  35.  
  36. [KEYWORDS2:GLOBAL]
  37. altera lpm ieee work std alt_vtl UNISIM
  38.  
  39. [KEYWORDS3:GLOBAL]
  40. + - * / &
  41.  
  42. [KEYWORDS4:GLOBAL]
  43.  
  44. [KEYWORDS5:GLOBAL]
  45.  
  46. [KEYWORDS6:GLOBAL]
  47.  
  48. [KEYWORDS7:GLOBAL]
  49.  
  50. [KEYWORDS8:GLOBAL]
  51.  
  52. [KEYWORDS9:GLOBAL]
  53.