home *** CD-ROM | disk | FTP | other *** search
/ GameStar 2001 December / Gamestar_35_2001-12_cd1.bin / PROGRAMY / cedt331.zip / data1.cab / Program_Files / spec / verilog.key < prev    next >
INI File  |  2001-10-25  |  3KB  |  63 lines

  1. [-COMMENT-:GLOBAL]
  2. # Verilog-HDL LANGUAGE KEYWORD FILE
  3.  
  4. # CRIMSON EDITOR v3.1
  5. # FIRST EDITED BY Chang-woo,YANG 2001.5.11
  6. # =============================================================
  7. # = Here is a "PLDWorld.com"...       http://www.PLDWorld.com =
  8. # =                                     podongii@PLDWorld.com =
  9. # = oOOo oOOo =================================================
  10.  
  11. [KEYWORDS0:GLOBAL]
  12. always and assign
  13. begin buf bufif0 bufif1
  14. case casex casez cmos
  15. deassign default defparam disable
  16. edge else end endattribute endcase endmodule endfunction endprimitive endspecify endtable endtask event
  17. for force forever fork function
  18. highz0 highz1
  19. if ifnone initial inout input integer
  20. join
  21. large
  22. macromodule medium module
  23. nand negedge nmos nor not notif0 notif1
  24. or output
  25. parameter pmos posedge primitive pull0 pull1 pullup pulldown
  26. rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1
  27. scalared signed small specify specparam strength strong0 strong1 supply0 supply1
  28. table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg
  29. unsigned
  30. vectored
  31. wait wand weak0 weak1 while wire wor
  32. xnor xor
  33.  
  34. [KEYWORDS1:GLOBAL]
  35. `resetall `define `undef `timescale `ifdef `else `endif `include `celldefine `endcelldefine `default_nettype `unconnected_drive `nounconnected_drive
  36.  
  37. [KEYWORDS2:GLOBAL]
  38. $display $displayb $displayh $displayo $monitor $monitorb $monitorh $monitoro $monitoron $monitoroff $strobe $strobeb $strobeh $strobeo $write $writeb $writeh $writeo 
  39. $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fmonitor $fmonitorb $fmonitorh $fmonitoro $readmemb $fopen $fstrobe $fstrobeb $fstrobeh $fstrobeo $fwrite $fwriteb $fwriteh $fwriteo $readmemh
  40. $printtimescale $timeformat
  41. $finish $stop
  42. $hold $period $setup $skew $nochange $recovery $setuphold $width
  43. $async$and$array $async$nand$array $async$or$array $async$nor$array $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane
  44. $q_initialize $q_remove $q_exam $q_add $q_full $q_random
  45. $realtime $time $stime
  46. $bitstoreal $itor $readtobits $rtoi
  47. $random $dist_chi_square $dist_exponential $dist_poisson $dist_uniform $dist_erlang $dist_nornal $dist_t
  48. $comment $date $enddefinitions $scope $timescale $upscope $var $version $dumpall $dumpoff $dumpon $dumpvars
  49.  
  50. [KEYWORDS3:GLOBAL]
  51.  
  52. [KEYWORDS4:GLOBAL]
  53.  
  54. [KEYWORDS5:GLOBAL]
  55.  
  56. [KEYWORDS6:GLOBAL]
  57.  
  58. [KEYWORDS7:GLOBAL]
  59.  
  60. [KEYWORDS8:GLOBAL]
  61.  
  62. [KEYWORDS9:GLOBAL]
  63.