home *** CD-ROM | disk | FTP | other *** search
/ Hot Shareware 35 / hot35.iso / ficheros / 9TXT / ZE32V270.ZIP / VERILOG.TX_ / VERILOG.TX
Text File  |  1998-04-23  |  2KB  |  218 lines

  1. always
  2. and
  3. assign
  4. attribute
  5. begin
  6. buf
  7. bufif0
  8. bufif1
  9. case
  10. casex
  11. casez
  12. cmos
  13. deassign
  14. default
  15. defpram
  16. disable
  17. edge
  18. else
  19. end
  20. endattribute
  21. endcase
  22. endfunction
  23. endmodule
  24. endprimitive
  25. endspecify
  26. endtable
  27. endtask
  28. event
  29. for
  30. force
  31. forever
  32. fork
  33. function
  34. highz0
  35. highz1
  36. if
  37. initial
  38. inout
  39. input
  40. integer
  41. join
  42. large
  43. macromodule
  44. medium
  45. module
  46. nand
  47. negedge
  48. nmos
  49. nor
  50. not
  51. notif0
  52. notif1
  53. or
  54. output
  55. parameter
  56. pmos
  57. posedge
  58. primitive
  59. pull0
  60. pull1
  61. pulldown
  62. pullup
  63. rcmos
  64. real
  65. realtime
  66. reg
  67. release
  68. repeat
  69. rtran
  70. rtranif0
  71. rtranif1
  72. scalared
  73. signed
  74. small
  75. specify
  76. specpram
  77. strength
  78. strong0
  79. strong1
  80. supply0
  81. supply1
  82. table
  83. task
  84. time
  85. tran
  86. tranif0
  87. tranif1
  88. tri
  89. tri0
  90. tri1
  91. triand
  92. trior
  93. trireg
  94. unsigned
  95. vectored
  96. wait
  97. wand
  98. weak0
  99. weak1
  100. while
  101. wire
  102. wor
  103. xnor
  104. xor
  105. `resetall
  106. `define
  107. `undef
  108. `timescale
  109. `ifdef
  110. `else
  111. `endif
  112. `include
  113. `celldefine
  114. `endcelldefine
  115. `default_nettype
  116. `unconnected_drive
  117. `nounconnected_drive
  118. $display
  119. $displayb
  120. $displayh
  121. $displayo
  122. $monitor
  123. $monitorb
  124. $monitorh
  125. $monitoro
  126. $monitoroff
  127. $strobe
  128. $strobeb
  129. $strobeh
  130. $strobeo
  131. $write
  132. $writeb
  133. $writeh
  134. $writeo
  135. $monitoron
  136. $fclose
  137. $fdisplay
  138. $fdisplayb
  139. $fdisplayh
  140. $fdisplayo
  141. $fmonitor
  142. $fmonitorb
  143. $fmonitorh
  144. $fmonitoro
  145. $readmemb
  146. $fopen
  147. $fstrobe
  148. $fstrobeb
  149. $fstrobeh
  150. $fstrobeo
  151. $fwrite
  152. $fwriteb
  153. $fwriteh
  154. $fwriteo
  155. $readmemh
  156. $printtimescale
  157. $timeformat
  158. $finish
  159. $stop
  160. $hold
  161. $period
  162. $setup
  163. $skew
  164. $nochange
  165. $recovery
  166. $setuphold
  167. $width
  168. $async$and$array
  169. $async$nand$array
  170. $async$or$array
  171. $async$nor$array
  172. $async$and$plane
  173. $async$nand$plane
  174. $async$or$plane
  175. $async$nor$plane
  176. $sync$and$array
  177. $sync$nand$array
  178. $sync$or$array
  179. $sync$nor$array
  180. $sync$and$plane
  181. $sync$nand$plane
  182. $sync$or$plane
  183. $sync$nor$plane
  184. $q_initialize
  185. $q_remove
  186. $q_exam
  187. $q_add
  188. $q_full
  189. $q_random
  190. $realtime
  191. $time
  192. $stime
  193. $bitstoreal
  194. $itor
  195. $readtobits
  196. $rtoi
  197. $random
  198. $dist_chi_square
  199. $dist_exponential
  200. $dist_poisson
  201. $dist_uniform
  202. $dist_erlang
  203. $dist_nornal
  204. $dist_t
  205. $comment
  206. $date
  207. $enddefinitions
  208. $scope
  209. $timescale
  210. $upscope
  211. $var
  212. $version
  213. $dumpall
  214. $dumpoff
  215. $dumpon
  216. $dumpvars
  217.  
  218.