home *** CD-ROM | disk | FTP | other *** search
/ ftp.pasteur.org/FAQ/ / ftp-pasteur-org-FAQ.zip / FAQ / verilog-faq < prev    next >
Text File  |  1995-09-20  |  85KB  |  2,085 lines

  1. Newsgroups: comp.answers,comp.lang.verilog,news.answers
  2. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!spool.mu.edu!howland.reston.ans.net!swrinde!ihnp4.ucsd.edu!equalizer!timbuk.cray.com!ned.cray.com!sjp
  3. From: sjp@cray.com (Steve Phillips)
  4. Subject: FAQ: Comp.lang.verilog Frequently Asked Questions (with answers)
  5. Message-ID: <1995Sep19.080200.14843@ned.cray.com>
  6. Followup-To: comp.lang.verilog
  7. Summary: This posting contains a list of Frequently Asked Questions (and
  8.          their answers) about Verilog HDL.
  9. Lines: 2068
  10. Nntp-Posting-Host: peanut.cray.com
  11. Reply-To: sjp@cray.com
  12. X-Newsreader: TIN [version 1.2 PL2-CRIa]
  13. Date: 19 Sep 95 08:02:00 CDT
  14. Approved: news-answers-request@MIT.EDU
  15. Xref: senator-bedfellow.mit.edu comp.answers:14374 comp.lang.verilog:3743 news.answers:53338
  16.  
  17. Archive-name: verilog-faq
  18. Version $Id: verilog-faq.html,v 2.15 1995/06/20 16:48:25 sjp Exp sjp $
  19.  
  20.    This is the FAQ (Frequently Asked Questions) list for the newsgroup
  21.    comp.lang.verilog. It is an attempt to gather in one place the answers
  22.    to common questions and to maintain an updated list of publications,
  23.    services, and products. Please read this document before posting.
  24.    
  25.    This article is posted bi-weekly. It is also available from the
  26.    archive for this group.
  27.    
  28.    If you haven't already done so, reading the posts on
  29.    news.announce.newusers titled "A Primer on How Work With the Usenet
  30.    Community", "Answers to Frequently Asked Questions about Usenet" and
  31.    "Hints on writing style for Usenet" would be a good idea. They are "a
  32.    guide to using it [Usenet] politely, effectively and efficiently."
  33.    
  34.    Your comments, additions, and corrections to this list are welcome:
  35.    Please send them to Steve Phillips <sjp@cray.com>.
  36.    
  37. P01: Table of Contents
  38.  
  39.     Legend
  40.     
  41.           + new
  42.           - deleted
  43.           ! changed
  44.           
  45.     Preface
  46.        P01: Table of Contents
  47.        P02: Viewing this article
  48.        P03: ! Where to get the most recent version of this FAQ
  49.        P04: How does FTP work?
  50.        
  51.     Introductory
  52.        I01: What is Verilog?
  53.        I02: Who's bright idea was this? (A short history)
  54.        I03: What is comp.lang.verilog?
  55.        I04: Is there an archive for this group?
  56.        I05: Is the archive available on the Web, through clients like
  57.        Mosaic and Lynx?
  58.        
  59.     General Topics
  60.        G01: Is there a verilog.el for GNU emacs?
  61.        G02: What is PLI?
  62.        G03: Is there a version that runs on a IBM PC clone?
  63.        G04: What is the best PC clone simulator?
  64.        G05: What is the best workstation simulator?
  65.        G06: Is there a vgrind def file?
  66.        G07: Is there a free verilog parser available?
  67.        G08: Is there a free Verilog simulator?
  68.        G09: Is there a Verilog test suite?
  69.        G10: Where can I find a free Verilog quick reference card?
  70.        G11: Are there related Web sites? 
  71.        
  72.     Appendixes
  73.        A01: Verilog vendors and products
  74.        A02: Books and Reference material on Verilog
  75.        
  76.    
  77.    
  78. Subject: P02: Viewing this article
  79.  
  80.    This article is now written as an HTML document. The plain text
  81.    version is generated by dump the HTML with lynx. This means that it is
  82.    no longer in digest format. This makes it a little less useable as a
  83.    plain text document, but a lot nicer as a web ducument.
  84.    
  85.    To skip to a particular question numbered xxx, use "/xxx" with most
  86.    pagers. In GNU Emacs type "M-C-s xxx", (or C-r to search backwards),
  87.    followed by ESC to end the search.
  88.    
  89. Subject: P03: Where to get the most recent version of this FAQ
  90.  
  91.    This FAQ is now available from the usual places:
  92.    
  93.    o RTFM FAQ archives
  94.        ftp://rtfm.mit.edu/pub/usenet-by-group/comp.lang.verilog/FAQ:_Comp
  95.        .lang.verilog_Frequently_Asked_Questions_(with_answers)
  96.        
  97.    o Ohio State Usenet FAQ archives
  98.        http://www.cis.ohio-state.edu/hypertext/faq/usenet/verilog-faq/faq
  99.        .html
  100.        
  101.    
  102.    
  103.    It is also posted frequently to comp.lang.verilog, and is available
  104.    from the archive site (see I04: Is there an archive for this group? ).
  105.    
  106.    
  107. Subject: P04: How does FTP work?
  108.  
  109.    FTP is a way of copying files between networked computers. If you need
  110.    help in using or getting started with FTP, send e-mail to
  111.    
  112.    mail-server@rtfm.mit.edu
  113.    
  114.    
  115.    
  116.    with
  117.    
  118.    send /pub/usenet-by-group/news.answers/ftp-list/faq
  119.    
  120.    in the body.
  121.    
  122. Subject: I01: What is Verilog?
  123.  
  124.    Verilog HDL is a hardware description language used to design and
  125.    document electronic systems. Verilog HDL allows designers to design at
  126.    various levels of abstraction. It is the most widely used HDL with a
  127.    user community of more than 15000 active designers.
  128.    
  129. Subject: I02: Who's bright idea was this? (A short history)
  130.  
  131.    [contributed by Asad Khan <asad@Cadence.COM> ]
  132.    
  133.    Verilog HDL originated circa 1983 at Gateway Design Automation, which
  134.    was then located in Acton, MA. The company was privately held at that
  135.    time by Dr. Prabhakar Goel, the inventor of the PODEM test generation
  136.    algorithm. Verilog HDL was designed by Phil Moorby, who was later to
  137.    become the Chief Designer for Verilog-XL and the first Corporate
  138.    Fellow at Cadence Design Systems.
  139.    
  140.    Moorby built a simulator around Verilog-XL in 1984-85, and then went
  141.    on to make his second major contribution at GDA, viz. the XL algorithm
  142.    for every fast gate-level simulation, which was first productized in
  143.    1986.
  144.    
  145.    Gateway Design Automation grew rapidly with the success of Verilog-XL
  146.    and was finally acquired by Cadence Design Systems, San Jose, CA in
  147.    1989. Up till this time, Verilog HDL was still a proprietary language,
  148.    being the property of Cadence Design Systems.
  149.    
  150.    Cadence Design Systems decided to open the language to the public in
  151.    1990, and thus OVI was born.
  152.    
  153.    [contributed by John Sanguinetti <jws@chronologic.com> ]
  154.    
  155.    When OVI was formed in 1991, a number of small companies began working
  156.    on Verilog simulators. The first of these came to market in 1992, and
  157.    now there are mature Verilog simulators available from several souces.
  158.    
  159.    
  160.    As a result, the Verilog market has grown substantially. The market
  161.    for Verilog-related tools in 1994 was well over $75m, making it the
  162.    most commercially significant hardware description language on the
  163.    market.
  164.    
  165.    Verilog is now in the process of being standardized by the IEEE. There
  166.    is an IEEE working group established under the Design Automation
  167.    Sub-Committee which was established in 1993 to produce the IEEE
  168.    Verilog standard 1364. This working group is currently active and
  169.    expects to produce a draft standard for balloting sometime in 1995.
  170.    
  171. Subject: I03: What is comp.lang.verilog?
  172.  
  173.    [extracted from ftp.uu.net:/usenet/control/comp/comp.lang.verilog.Z]
  174.    
  175.    comp.lang.verilog is an unmoderated newsgroup which passed its vote
  176.    for creation by 332:9 as reported in news.announce.newgroups on 12 Dec
  177.    1991.
  178.    
  179.    For your newsgroups file:
  180.    comp.lang.verilog Discussing Verilog and PLI.
  181.    
  182.    The charter, culled from the call for votes:
  183.    
  184.    The USENET group is intended at providing a forum for the discussion
  185.    of topics specific to Verilog, PLI (programming language interface),
  186.    SDF (Standard delay file format), Synthesis guidelines, compliance and
  187.    Verilog modeling. It will also provide users with an ability to share
  188.    Verilog/PLI utilities. Users can also use the forum to discuss any
  189.    Verilog related issues proposed by Open Verilog International and its
  190.    organizational and technical committees.
  191.    
  192. Subject: I04: Is there an archive for this group?
  193.  
  194.    Yes. Out of the goodness of our hearts, we here at Cray Research
  195.    provide an anonymous ftp archive for the postings to comp.lang.verilog
  196.    and related files and information. This archive is read only; Cray
  197.    does not allow non-employees to write into its file systems. If you
  198.    have something to contribute, send it to me (sjp@cray.com) and I will
  199.    upload it.
  200.    
  201.    ftp.cray.com:/pub/comp.lang.verilog/
  202.    
  203.    In addition, the University of Windsor maintains an archive of
  204.    postings to several of the CAD related newsgroups. One of these is
  205.    comp.lang.verilog:
  206.    
  207.    ftp.cs.uwindsor.ca:/pub/local/vlsi/comp.lang.verilog/
  208.    
  209.    
  210.    
  211. Subject: I05: Is the archive available on the Web, through clients like Mosaic
  212. and Lynx?
  213.  
  214.    Cray also provides space on the Cray Research Web Server for the
  215.    Comp.lang.verilog home page:
  216.    
  217.    http://www.cray.com/verilog/archive.html
  218.    
  219.    In fact, this FAQ is actually a html document. The text version is
  220.    created by dumping the html version with lynx. The html version can be
  221.    accessed from the archive home page, or directly at:
  222.    
  223.    http://www.cray.com/verilog/verilog-faq.html
  224.    
  225.    
  226.    
  227. Subject: G01: Is there a verilog.el for GNU emacs?
  228.  
  229.    The archives contain no less than three verilog modes for emacs:
  230.    
  231.    ftp.cray.com:/pub/comp.lang.verilog/verilog.el.Z
  232.        Rick Eversole at Cadence maintains a verilog mode and occasionally
  233.        posts it to comp.lang.verilog. At this time it supports only FSF
  234.        18.xx and Epoch. FSF 19.xx and Lucid Emacs (lemacs) are not
  235.        supported. It is available at the archive site, or send email to
  236.        eversole@cadence.com to request a copy if you have missed the
  237.        posting and can not get it from the archive of comp.lang.verilog.
  238.        
  239.    ftp.cray.com:/pub/comp.lang.verilog/verilog-mode.Z
  240.        This one was written by Michael McNamara (mac@chronologic.com). I
  241.        grabbed this off the net last fall.
  242.        
  243.    ftp.cray.com:/pub/comp.lang.verilog/vlog-mode.tar.Z
  244.        This one was written by Phil Welling (Philip.Welling@tek.com) and
  245.        was also grabbed from a posting.
  246.        
  247.    In addition, Cadence is now shipping an LSE (Language Sensitive
  248.    Editor) that appears to consist of Lucid Emacs with a set of elisp
  249.    files to implement the verilog mode.
  250.    
  251. Subject: G02: What is PLI?
  252.  
  253.    PLI stands for Programming Language Interface. The PLI consists of an
  254.    interface mechanism, a set of routines to interact with the simulation
  255.    environment, and a set of routines to access the Verilog internal data
  256.    structures. These allow user supplied C code to interact dynamically
  257.    with the simulation and data structures.
  258.    
  259. Subject: G03: Is there a version that runs on a IBM PC clone?
  260.  
  261.    See section A01: Verilog vendors and products
  262.    
  263. Subject: G04: What is the best PC clone simulator?
  264.  
  265.    The answer, of coarse, depends on what you are looking for. However,
  266.    Yatin Trivedi has made available a summary of an evaluation of the PC
  267.    clone verilog simulators currently available:
  268.  
  269.  
  270.         Here is the summary of the PC_based Verilog simulator
  271.         Product Evaluation results. These results and a discussion
  272.         of the evaluation appeared in ASIC & EDA Magazine, (Product
  273.         Evaluation, PC based Verilog Simulators, April 1994,
  274.         pages 12-36), and Electronic Engineering Times (Verilog
  275.         Simulators get benchmark grilling, April 25, Page 114).
  276.  
  277.         A detailed report of raw performance numbers and the
  278.         scoring schemes are available in a report from Seva
  279.         Technologies (510-249-9085 or 408-223-1231).
  280.  
  281.         The evaluation was ranked using SEVA Evaluation Index (SEI)
  282.         derived by talking with more than 50 different users.
  283.  
  284.         SEI Criteria    Weight
  285.         ============    ======
  286.  
  287.         Performance     40%
  288.         Debugging       20%
  289.          Environment
  290.         Language        15%
  291.          Compliance
  292.         Design Envr     10%
  293.          Integration
  294.         Tech Doc &       5%
  295.          Support
  296.         PLI Implem       4%
  297.         Specify Block    4%
  298.         Installation     2%
  299.          & Licensing
  300.  
  301.         
  302.         Performance was measured in terms of compile time, run time,
  303.         and memory used.
  304.         
  305.         There were 9 different models run with small, medium, and
  306.         large number of vectors.
  307.  
  308.         The 9 models were divided in small, medium, and large models
  309.         at gate level, RTL, and mixed.
  310.         
  311.         More than 125 compliance test cases were run.
  312.  
  313.         Run time was at least 3 minutes for the fastest simulator
  314.         to avoid any measurement inaccuracies.
  315.         
  316.         Simulator/Vendor        SEI Score       SEI/$1,000
  317.         ================        =========       ==========
  318.  
  319.         VeriBest/Intergraph     73.9             4.93
  320.         FinSim/Fintronic        63.7             9.10
  321.         SILOS III/Simucad       62.3            20.77
  322.         Baseline/Frontline      61.9            10.32
  323.         Veriwell/Wellspring     29.7            29.85
  324.         Viper/InterHDL          25.9            26.03
  325.  
  326.         Seva EValuation Academy Awards 1994 (SEVA Awards) goes to
  327.         (drum rolls, please...)
  328.  
  329.         Serious User's Simulators       ==>     VeriBest, Silos III, Baseline
  330.         Best Price/Performance          ==>     Silos III, Baseline
  331.         Cost-conscious User's choice    ==>     Veriwell, Viper
  332.         Best Performance                ==>     VeriBest, Silos III
  333.         Most Compliant                  ==>     Baseline, VeriBest
  334.         Best Documentation              ==>     Baseline, Silos III
  335.         Macintosh Compatibility         ==>     Veriwell
  336.  
  337.         Note: VeriBest uses Finsim as its core simulator.
  338.  
  339.  
  340.         We hope this was a useful evaluation. The detailed report
  341.         is published for EDA managers who wish to make an informed
  342.         decision of purchasing large quantity of simulators for
  343.         their companies. Most individual users are better off just
  344.         buying the simulator from the above information rather
  345.         than spending $3,000 for the report.
  346.  
  347.         If you happen to quote the information from this posting,
  348.         we request you to maintain the integrity of the information
  349.         in tact, and credit Seva Technologies as the source.
  350.  
  351.         A VHDL simulator evaluation is near completion, and FPGA based
  352.         synthesis tools evaluation is planned for.
  353.  
  354.         If you care to voice your opinion, we would like to know what
  355.         you thought of SEI criteria and weights for Verilog simulators
  356.         (VHDL are similar, and will be published in July issue of
  357.         ASIC & EDA magazine).
  358.  
  359.         The designs we used for performance measurements were received
  360.         from REAL users under NDA, and most are in production. If you
  361.         would like your design to be part of a comprehensive evaluation
  362.         process, we would certainly welcome your participation.
  363.  
  364.         Please read the articles in ASIC & EDA and EETimes.
  365.  
  366.         Thank you for your interest. We look forward to your comments
  367.         directly by email to trivedi@netcom.com, lfs@mcimail.com, and
  368.         skk@netcom.com.
  369.  
  370.         If you are in Europe, you may contact Mr. Jon Howes, NEuW, for
  371.         the availability of the report. His coordinates are:
  372.  
  373.         Jon C Howes    jchowes@neuw.demon.co.uk  CIS: 100120,2101 Japan:SGS0220
  374. 1
  375.         NEuW Limited, PO Box 8, Greenfield Innovation Centre,Greenfield,Oldham,
  376.         OL3 7LZ, UK  Tel:+44 (0) 457 820 326  Fax:+44 (0) 457 820 304
  377.  
  378.    
  379.    
  380. Subject: G05: What is the best workstation simulator?
  381.  
  382.    The answer, of coarse, depends on what you are looking for. However,
  383.    Yatin Trivedi has made available a summary of an evaluation of the
  384.    workstation based verilog simulators currently available:
  385.  
  386. SEVA Technologies, Inc. co-founders Yatin Trivedi and Larry
  387. Saunders, well-known industry consultants, organized and conducted these
  388. evaluations, third in an ongoing series.  The evaluations are based on the
  389. SEVA Evaluation Index (SEI), which is a comprehensive set of evaluation
  390. criteria developed by SEVA.  The relative importance of the criteria is
  391. derived from inputs of end users/designers, project managers at system
  392. houses, and EDA tool developers.  The SEI is continually refined with
  393. inputs received from previous Verilog and VHDL evaluations. Besides
  394. raw performance, the index is weighted by measures such as language
  395. compliance, debugging capability, integration with the design
  396. environment, programming language interface (PLI), ability to handle a
  397. design's timing data (SDF), product documentation, installation and
  398. licensing, and technical support.
  399.  
  400. SEVAFs evaluation criteria and results, including the SEI distribution
  401. and ranking based on the SEI, are summarized below.
  402.  
  403. SEI Criteria            Weight          Vendor          SEI Score
  404. Performance             50              Chronologic     82.14
  405. (Compile+Run+Memory)                    Cadence         69.31
  406. Language Compliance     20              Intergraph      47.24
  407. Debug Commands          10              Simucad         36.86
  408. Design Env Integration   6              CAD Artisans    23.49
  409. PLI Support              6              Wellspring      23.15
  410. SDF and Timing           6              interHDL        22.96
  411. Documentation &          2
  412. Technical Support
  413.  
  414. An article outlining the evaluation results are published in the March,
  415. 1995 issue of "Integrated System Design" magazine.  Complete results
  416. and the details of the evaluation methodology can be obtained by
  417. subscribing to SEVA's Newsletter The Ultimate EDA Tool.
  418.  
  419.    
  420.    
  421. Subject: G06: Is there a vgrind def file?
  422.  
  423.    Yes. Available in the archives as:
  424.    
  425.    ftp.cray.com:/pub/comp.lang.verilog/verilog-vgrind-def.Z
  426.    
  427. Subject: G07: Is there a free verilog parser available?
  428.  
  429.    Yes. There are two known public domain parsers.
  430.    
  431.    ftp.cray.com:/pub/comp.lang.verilog/hdl.y.Z
  432.        This one was donated by Frank Bennett (fwb@hpfcso.FC.HP.COM).
  433.        Here's what Frank had to say about it:
  434.        
  435.      hdl.y below is a verilog parser written using the Unix utility -
  436.      yacc. It by no means is a complete verilog parser. This only
  437.      represents a few nights of effort in front of the ole PC. This is
  438.      donated in the hope that this will enable additional work by
  439.      individuals interested in learning verilog & yacc.
  440.    
  441.        
  442.    ftp.cray.com:/pub/comp.lang.verilog/verilog_parser.tar.Z
  443.        The second is from Michael A. Riepe (riepe@eecs.umich.edu). Here's
  444.        what he had to say about it:
  445.        
  446.      I ran across a verilog-HDL parser authored by
  447.      stcheng@ic.berkeley.edu. It is available by anonymous FTP from
  448.      ic.berkeley.edu in directory /pub/stcheng/vl2mv.tar.Z. It is part of
  449.      a verilog->bliff translator. It comes complete with a wrapper for
  450.      the translator, and contains the parser and code to build the parse
  451.      tree. One of the handiest things is a traverse routine which echoes
  452.      the input file back to the output by traversing the data structures,
  453.      thus giving you a template to base your own application on.
  454.      
  455.      The parser itself seems to contain most of the verilog-HDL grammar,
  456.      though many behavioral constructs are unimplemented in the data
  457.      structure routines. It is still under development, so there are
  458.      bugs. I spent a few days hacking the code and removed a lot of hooks
  459.      to berkeley OCTTOOLS code that wasn't included with the
  460.      distribution. The code as I downloaded it didn't compile. I'll place
  461.      this on the anonymous FTP site here (ftp.eecs.umich.edu in
  462.      people/riepe) - you'll get a version that compiles (at least it does
  463.      on my decstation) and a list of bug fixes that have been sent to me
  464.      other people I've given it to.
  465.      
  466.      Bug reprts/fixes should be sent both to riepe@eecs.umich.edu, and
  467.      stcheng@ic.berkeley.edu (the author of the original version)
  468.      
  469.    
  470.    
  471. Subject: G08: Is there a free Verilog simulator?
  472.  
  473.    There is a free, copylefted Verilog simulator called "vbs", written by
  474.    Jimen Ching and Lay Hoon Tho as a senior design project in the
  475.    electrical engineering curriculum of the University of Hawaii, College
  476.    of Engineering.
  477.    
  478.    It is available from the archive at:
  479.    
  480.      * FTP: ftp://ftp.cray.com:/pub/comp.lang.verilog/vbs-1.2.tar.gz
  481.        
  482.    It appears that Veriwell/386 and Veriwell/Sparc are now shareware. Use
  483.    is free for source files under 1000 lines. For larger files, a
  484.    hardware dongle is required for the MS-DOS version, a license for the
  485.    Sparc version. The simulator is available for downloading from the
  486.    Wellspring Solutions BBS or via ftp:
  487.    
  488.      * BBS: 1-508-865-1113 (8-N-1)
  489.      * FTP: ftp://iii.net:/pub/pub-site/wellspring/
  490.        
  491.    
  492.    
  493.    InterHDL also has shareware version. Eli Sternheim says "This is a
  494.    full Verilog simulator with the following exceptions: no PLI, specify
  495.    blocks are ignored, no switch level constructs but gates and
  496.    primitives are supported. Also there is a size limitation on the
  497.    design."
  498.    
  499.      * FTP: ftp://ftp.netcom.com/pub/el/eli
  500.        
  501.    InterHDL's simulator can also be had through their mailserver. send an
  502.    e-mail to request@interhdl.com with the word "help" in the body of the
  503.    message.
  504.    
  505. Subject: G09: Is there a Verilog test suite?
  506.  
  507.    [contributed by Rich Kolb <rich@systems.com>]
  508.    
  509.    The OVI Test and Compliance Committee has acquired Verilog HDL tests
  510.    and organized them into a test suite. Most of the tests are very small
  511.    "atomic" tests that test one particular portion of the language. Each
  512.    test consists of the Verilog circuit file and the simulation output
  513.    file produced by OVISIM. OVISIM is the Verilog clone produced by
  514.    Cadence and contributed to OVI.
  515.    
  516.    To induce organizations to contribute additional tests, the entire
  517.    test suite is available to anyone who contributes 25 tests or tests
  518.    with at least 1000 lines of Verilog code. Currently there are more
  519.    than 400 tests in the test suite (9/12/84). Naturally, OVI would
  520.    appreciate it if even more tests were submitted. OVI would like to see
  521.    as many tests shared by the Verilog community as possible.
  522.    
  523.    The test submission system is set up to automatically function by
  524.    e-mail. Tests can be mailed to the test system and it will run the
  525.    simulations and send back the results.
  526.    
  527.    OVI welcomes all contributed tests. If a developer is only interested
  528.    in the simulation results from a single circuit, that circuit can be
  529.    sent to the test system and the simulation results will be returned.
  530.    
  531.    For more information on the test submission format and procedure, send
  532.    mail to rich@systems.com
  533.    
  534. Subject: G10: Where can I find a free Verilog quick reference card?
  535.  
  536.    In the archive, of coarse! A postscript quick reference card has been
  537.    donated by Rajeev Madhavan. It is available in the archive:
  538.    
  539.    ftp.cray.com:/pub/comp.lang.verilog/ref.tar.Z
  540.    
  541. Subject: G11: Are there related Web sites?
  542.  
  543.    Here are some links to Verilog related sites:
  544.    
  545.    Cadmazing's DA-Related Information on the Web
  546.           http://www.cadmazing.com/cadmazing/pages/da.html
  547.           
  548.    EE Times
  549.           http://techweb.cmp.com/eet/
  550.           
  551.    Electronic Design Automation Companies
  552.           http://www.edac.org
  553.           
  554.    IVC (International Verilog Conference)
  555.           http://www.e2w3.com/ivcconf.html
  556.           
  557.    DAC (Design Automation Conference)
  558.           http://www.dac.com/dac.html
  559.           
  560.    
  561.    
  562. Subject: A01: Verilog vendors and products
  563.  
  564.    Caveat: Many of these product descriptions were written by the vendor.
  565.    They may contain hype.
  566.    
  567.    Alta Group (formerly Comdisco Systems) of Cadence Design Systems
  568.    Alternative System Concepts, Inc.
  569.    Attest Software Inc.
  570.    Cadence Design Systems, Inc.
  571.    Caesium Inc.
  572.    Chronologic Simulation
  573.    Design Acceleration, Inc.
  574.    DS Diagonal Systems Inc.
  575.    Fintronic USA, Inc.
  576.    FrontLine Design Automation Inc.
  577.    i-Logix Inc.
  578.    Intellitech Corporation
  579.    Intergraph Electronics
  580.    interHDL, Inc.
  581.    Library Technologies, Inc.
  582.    Precedence Incorporated
  583.    Pragmatic C Software Corp.
  584.    Simucad
  585.    SpeedSim, Inc.
  586.    Sunrise Test Systems
  587.    Synopsys Inc
  588.    Systems Science Inc.
  589.    Verilog Consulting Service
  590.    Veritools Inc.
  591.    Vista Technologies, Inc.
  592.    Wellspring Solutions, Inc.
  593.        
  594.    
  595.      _________________________________________________________________
  596.    
  597.    Vendor:
  598.           Alta Group (formerly Comdisco Systems) of Cadence Design
  599.           Systems
  600.           919 E. Hillsdale Blvd. Suite #300
  601.           Foster City, CA 94404
  602.           Phone: (415) 574-5800
  603.           FAX: (415) 358-3601
  604.           Email: talkalta@csi.com
  605.           URL:
  606.           
  607.    Product:
  608.           Hardware Design System (HDS)
  609.           
  610.    Description:
  611.           The Hardware Design System (HDS) is a companion product to
  612.           Alta's Signal Processing Worksystem (SPW). Designers of DSP,
  613.           Communication and Multimedia systems use SPW/HDS to capture and
  614.           analyze algorithms and behavior at the system level. Once the
  615.           behavior/algorithm is verified, designers proceed to define the
  616.           Hardware Architecture of their system using a powerful set of
  617.           parameterized architectural blocks.
  618.           
  619.           HDS includes a library-based HDL generator which generates
  620.           optimized Verilog (VHDL) code, targetted for specific synthesis
  621.           tools. In addition, HDS includes an HDL-Import capability,
  622.           which allows designers to co-simulate system-level diagrams
  623.           with Verilog (VHDL) code.
  624.           
  625.    Supports:
  626.           SPARC, HP700, IBM RS600
  627.           
  628.    
  629.      _________________________________________________________________
  630.    
  631.    Vendor:
  632.           Alternative System Concepts, Inc.
  633.           P.O BOX 128
  634.           Windham, NH 03087 USA
  635.           Phone: (603) 437-2234
  636.           FAX: (603) 437-2722
  637.           Email: info@ascinc.com
  638.           URL: http://www.ascinc.com
  639.           
  640.    Product:
  641.           verilog2vhdl
  642.           
  643.    Description:
  644.           verilog2vhdl translates Verilog HDL to IEEE1076-1987 compliant
  645.           VHDL using the Standard Logic 1164 package. The present form of
  646.           verilog2vhdl can perform a full structural translation and
  647.           partial translation of RTL constructs in Verilog. The tool can
  648.           also provide a software procedural interface to output VHDL.
  649.           Future releases will support full RTL and behavioral
  650.           translation of Verilog. IEEE1076-1993 compliance is also
  651.           expected soon.
  652.           
  653.           The product will be available in the first quarter of 1995.
  654.           
  655.    Supports:
  656.           SunOS 4.1.x, MS-DOS
  657.           
  658.    
  659.      _________________________________________________________________
  660.    
  661.    Vendor:
  662.           Attest Software Inc.
  663.           4677 Old Ironsides Drive, Suite 100
  664.           Santa Clara CA 95054
  665.           Phone: (408) 982-0244
  666.           FAX: (408) 982-0248
  667.           Email: info@attest.com
  668.           URL: ftp://ftp.netcom.com/pub/at/attest
  669.           
  670.    Product:
  671.           TDX (R)
  672.           
  673.    Description:
  674.           TDX is a high-performance, interactive fault simulation and
  675.           automatic test generation software system for Verilog.
  676.           
  677.           The software is built around a high-performance concurrent
  678.           fault simulator that supports all of the unidirectional
  679.           primitives, wire types, and gate/net delays defined in the
  680.           Verilog 2.0 LRM. UDPs are also supported, along with optimized
  681.           built-in models for single and multi-port RAMs.
  682.           
  683.           It is not necessary to sacrifice accuracy for fast fault
  684.           simulation.
  685.           
  686.           The software supports the detailed pin timing and strobing
  687.           features found on "tester-per-pin" ATE.
  688.           
  689.           TDX_FSIM - highly accurate, fast fault simulator with full
  690.           timing and states/strengths.
  691.           
  692.           TDX_IDDQ - flexible, programmable transistor-short fault
  693.           simulation and vector selection for current measurement
  694.           testing.
  695.           
  696.           TDX_STEP (TM) - static and dynamic testability analysis, and
  697.           test improvement program that supports both scan and non-scan
  698.           designs.
  699.           
  700.           TDX_ATG - sequential test generation for scan and non-scan
  701.           designs. Tightly integrated with tdx_fsim, tdx_step, and
  702.           tdx_iddq.
  703.           
  704.           Free demo executables are available by anonymous ftp from
  705.           ftp://ftp.netcom.com/pub/at/attest. The demo software runs on
  706.           any small circuit, and also on an 8085 microprocessor clone
  707.           model that is available at the ftp site.
  708.           
  709.    Supports:
  710.           Sun Sparc, HP PA-RISC, and Windows NT.
  711.           
  712.    
  713.      _________________________________________________________________
  714.    
  715.    Vendor:
  716.           Cadence Design Systems, Inc.
  717.           555 River Oaks Parkway
  718.           San Jose, CA 95134
  719.           Phone: (408) 943-1234
  720.           Fax: (408) 943-0513
  721.           email: ?
  722.           URL:
  723.           
  724.    Product(s):
  725.           Verilog-XL
  726.           
  727.    Description:
  728.           The industry standard Verilog simulator.
  729.           
  730.    Supports:
  731.           most workstations
  732.           
  733.    
  734.      _________________________________________________________________
  735.    
  736.    Vendor:
  737.           CAESIUM, Inc.
  738.           3542 Earl Drive
  739.           Santa Clara, CA 95051
  740.           Phone: (408) 492 9511
  741.           (408) 248 4603
  742.           Fax: (408) 248 6012
  743.           email: caesium@btr.com
  744.           URL:
  745.           
  746.    Product(s):
  747.           Verilog HDL Model Libraries (Custom VLSI & ASIC model
  748.           development)
  749.           
  750.    Description:
  751.           CAESIUM, Inc. provides Verilog HDL Model Libraries.
  752.           CAESIUM works with the customer to provide all the 'Missing
  753.           Models' (sm) for the customer's current and next projects.
  754.           
  755.           Features Include:
  756.           
  757.          1. Full Function.
  758.          2. Accurate Timing.
  759.          3. Synthesizable.
  760.          4. Intelligent X-handling.
  761.          5. Verilog HDL Source Code models.
  762.          6. Fast Execution.
  763.          7. Low Cost.
  764.             
  765.    
  766.           
  767.           ABT, ACT, ALS, AS, BCTTTL, HCT, F, S, LS Series Glue logic
  768.           parts.
  769.           
  770.           FIFOs, MEMORIES and PAL models are available for some families,
  771.           others can readily be developed on needed basis.
  772.           
  773.           Partial Function or Bus Function Models can be developed at a
  774.           nominal cost.
  775.           
  776.    Supports:
  777.           Models will work under LRM compatible Verilog simulators.
  778.           Verilog-XL, VCS, SILOS III, Viper, etc.
  779.           
  780.    
  781.      _________________________________________________________________
  782.    
  783.    Vendor:
  784.           Chronologic Simulation
  785.           5150 El Camino Real
  786.           Los Altos, CA 94022
  787.           Phone: (800) VERILOG or (415) 965-3312
  788.           FAX: (415) 965-2705
  789.           email: info@chronologic.com
  790.           URL: http://www.chronologic.com/
  791.           
  792.    Product(s):
  793.           VCS, Verilog Compiled Simulator
  794.           
  795.    Description:
  796.           Product is a Verilog Compiler offering 10x speed improvement on
  797.           behavioural code, and 1/10 memory usage; all as compared to
  798.           Verilog-XL 1.6. Supports the complete language, as well as
  799.           interactive debugging. Also supports SDF and the full PLI, and
  800.           offers incremental compilation. Compiles to machine code on
  801.           Sparc and HP machines, compiles to C on others.
  802.           
  803.    Supports:
  804.           Sparc SunOS, Sparc Solaris, HP PA-RISC, SGI, IBM RS6000, Sony
  805.           NeWS, DEC Alpha
  806.           
  807.    Product(s):
  808.           VMC, Verilog Model Compiler
  809.           
  810.    Description:
  811.           Product takes Verilog HDL source models and compiles them to C
  812.           object modules for use with VCS, Verilog-XL and other Verilog &
  813.           VHDL simulators. Allows component builders to release high
  814.           performance, low memory, proprietary models to their customers
  815.           - as object form - ie providing a very attractive alternative
  816.           to source protection/encryption.
  817.           
  818.    Supports:
  819.           Sparc SunOS, Sparc Solaris, HP PA-RISC
  820.           
  821.    
  822.      _________________________________________________________________
  823.    
  824.    Vendor:
  825.           Design Acceleration, Inc.
  826.           2105 Hamilton Ave., Suite 370
  827.           San Jose, CA 95125
  828.           Phone: (408) 559-8500
  829.           Fax: (408) 371-5196
  830.           email: info@designacc.com
  831.           URL: http://www.designacc.com
  832.           
  833.    Product(s):
  834.           Signalscan 4.0 & Pro
  835.           
  836.    Description:
  837.           Signalscan is a complete waveform viewing, simulation analysis
  838.           and tightly coupled source code debugging environment for
  839.           Verilog and mixed Verilog/analog simulation. Signals may be
  840.           backtraced from the waveform or source code views without need
  841.           to refer to a schematic. Signals load from the SST simulation
  842.           database in a fraction of the time it takes for conventional
  843.           solutions. Signalscan includes Sequence Time (patent pending):
  844.           a new time domain that gives graphical visibility into a
  845.           Verilog-specific problem of sequence order dependent results.
  846.           
  847.           Signalscan supports simultaneously viewing signals from mixed
  848.           simulation environments, including Cadence's Verilog,
  849.           Chronologic's VCS, Fintronic's FinSim, Frontline's BaseLine and
  850.           SimLine, Ikos' Gemini, SIMUCAD's SILOS, EPIC's TimeMill and
  851.           PowerMill and Meta Software's HSPICE.
  852.           
  853.    Supports:
  854.           SPARC, HP, SGI, RS/6000, Windows
  855.           
  856.    
  857.      _________________________________________________________________
  858.    
  859.    Vendor:
  860.           DS Diagonal Systems Inc.
  861.           800 El Camino Real, Suite 180
  862.           Mountain View, CA 94040
  863.           Phone: (415) 903 2255
  864.           Fax: (415) 903 2237
  865.           email: info@diagonal.com
  866.           Phone (Europe): +41 1 810 91 11
  867.           Fax: (Europe): +41 1 810 98 58
  868.           email (Europe): info@diagonal.ch
  869.           URL: http://www.diagonal.com/
  870.           
  871.    Product(s):
  872.           WAVE-Link, CHECK-Link, CAT-Link
  873.           
  874.    Description:
  875.           
  876.         WAVE-Link
  877.                 WAVE-Link is a highly interactive, graphical toolset for
  878.                 generating digital stimulus waveforms and defining
  879.                 expected responses that are simulator and ATE system
  880.                 independent. Stimulus creation is fast and and visual -
  881.                 free from the specific syntax of any simulator or ATE
  882.                 system. WAVE-Link is your common front-end tool for VHDL,
  883.                 Verilog and gate level simulators.
  884.                 
  885.         CHECK-Link
  886.                 Design verification according to company's guidelines;
  887.                 testability verified during design.
  888.                 
  889.         CAT-Link
  890.                 Design and layout consistency verification; design and
  891.                 layout data transfer to manufacturing (ATE & Pick/Place)
  892.                 
  893.    
  894.           
  895.    Supports:
  896.           SUN, HP, SCO UNIX, RS/6000, Windows (soon)
  897.           
  898.    
  899.      _________________________________________________________________
  900.    
  901.    Vendor:
  902.           Fintronic USA, Inc.
  903.           1360 Willow Road, Suite 205
  904.           Menlo Park, CA 94025
  905.           Phone: (415) 325-4474
  906.           FAX: (415) 325-4908
  907.           Email: info@fintronic.com
  908.           URL:
  909.           
  910.    Product(s):
  911.           FinSim Verilog Simulation Environment
  912.           
  913.    Description:
  914.           FinSim is a high performance Verilog simulation environment. It
  915.           features full language implementation including support for PLI
  916.           1.0, VCD, PLA, and SDF. FinSim has a very fast Verilog analyzer
  917.           with extensive error checking and recovery mechanism. FinSim
  918.           simulator can run in both compiled or interpreted mode as well
  919.           as mixed mode. Compatibility with Verilog-XL is excellent.
  920.           
  921.           FinSim 2.0 was rated the fastest PC-based Verilog simulator in
  922.           the published benchmark comparison from Integrated Design
  923.           System (formerly ASIC & EDA). With FinSim 4.0, simulator run up
  924.           to 40x faster while utilizing less memory. PLI and SDF access
  925.           is greatly optimized. In addition, FinSim 4.0 has several new
  926.           features including incremental compilation and full support for
  927.           source level debugger from Design Acceleration Signalscan and
  928.           Veritools Undertow.
  929.           
  930.           FinSim supports VCD waveform display tools from Design
  931.           Acceleration, Veritools, and Systems Science. Schematic capture
  932.           system is supported from Data I/O. FinSim is also the core
  933.           simulation engine in the Intergraph Veribest Design System.
  934.           
  935.           FinSim has a list price from $995 to $10,000 for all platforms.
  936.           Prices reflect single license per machine. Multiple and
  937.           educational discounts are available. Evaluation version of
  938.           FinSim is available upon request.
  939.           
  940.    Supports:
  941.           Solaris 1.1, Solaris 2.4 (Sun, Intel x86), Dec Unix, HP-UX, SGI
  942.           Irix, Sony NEWS, Windows NT (Intel x86, Alpha, MIPS, PowerPC),
  943.           OS/2, Window 95, Windows 3.1/DOS, Unixware, and Linux.
  944.           
  945.    
  946.      _________________________________________________________________
  947.    
  948.    Vendor:
  949.           FrontLine Design Automation Inc.
  950.           2860 Zanker Road, Suite 203
  951.           San Jose CA 95134
  952.           Phone: (408) 456 0222
  953.           FAX: (408) 456 0265
  954.           Email: sales@frontline.com
  955.           URL:
  956.           
  957.    Product(s):
  958.           SimLine (Compiled Verilog Simulator)
  959.           
  960.    Description:
  961.           In one powerful simulation environment, SimLine provides the
  962.           benefits of a very fast RTL level simulation with a compiled
  963.           engine, fast turn around with aninterpreted engine and fast
  964.           gate level simulation with a XL engine. In addtiotion SimLine
  965.           has enhanced timing accuracy over current Verilog
  966.           implementations to handle deep submicron ASIC technologies.
  967.           SimLine's debug and analysis environment makes HDL based design
  968.           easier with tools like a Source Level Debugger, Design
  969.           Analyzer, Hierarchy Manager and Waveform and Register displays.
  970.           In addition, SimLine is the highest compatibility Verilog
  971.           simulation solution on the market today.
  972.           
  973.    Supports:
  974.           Sun, HP
  975.           
  976.    Product(s):
  977.           BaseLine (Interpretive Verilog Simulator)
  978.           
  979.    Description:
  980.           BaseLine provides a full featured, highest com[patibility,
  981.           Verilog simulation environment for both PCs and Workstattions.
  982.           BaseLine has a powerful design and debug environment featuring
  983.           a Source level debugger, Hierarchy Management and both Waveform
  984.           and register display tools. BaseLine offers very competitive
  985.           performance and capacity making it ideal for the FPGA designer
  986.           or thise looking to extend their Verilog environments.
  987.           
  988.    Supports:
  989.           PC (Windows 3.1, NT), Sun, HP
  990.           
  991.    
  992.      _________________________________________________________________
  993.    
  994.    Vendor:
  995.           i-Logix Inc.
  996.           22 Third Avenue
  997.           Burlington, MA 01803
  998.           Phone: (508) 682-2100
  999.           FAX: ?
  1000.           Email: ?
  1001.           URL:
  1002.           
  1003.    Product(s):
  1004.           ExpressV-HDL
  1005.           
  1006.    Description:
  1007.           Provides a graphical environment to develope Statecharts.
  1008.           Equivalent Verilog or VHDL is automagically generated.
  1009.           
  1010.    Supports:
  1011.           ?
  1012.           
  1013.    
  1014.      _________________________________________________________________
  1015.    
  1016.    Vendor:
  1017.           Intellitech Corporation
  1018.           66 Route 25
  1019.           Meredith, NH 03253
  1020.           Phone: (603) 279-6308
  1021.           FAX: (603) 279-5135
  1022.           Email: info@intellitech.com
  1023.           URL:
  1024.           
  1025.    Product(s):
  1026.           BSDLMaker
  1027.           
  1028.    Description:
  1029.           BSDLMakerTM is a design-for-test tool for creating BSDL
  1030.           (Boundary Scan Description Language) files from Verilog
  1031.           netlists. BSDLMakerTM will compile your structural Verilog
  1032.           netlist (including flattening of hierarchical designs) and
  1033.           silicon package pin file, perform a number of 1149.1 design
  1034.           compliance checks, generate a BSDL file and produce a design
  1035.           warning/error message file. It supports libraries from multiple
  1036.           silicon vendors, multiple synthesis vendors and user defined
  1037.           1149.1 designs.
  1038.           
  1039.    Supports:
  1040.           PC, SUN
  1041.           
  1042.    
  1043.      _________________________________________________________________
  1044.    
  1045.    Vendor:
  1046.           Intergraph Electronics
  1047.           Huntsville, Al 35894-0001
  1048.           Phone: 1-800-VERIBEST
  1049.           FAX : (205) 730-8543
  1050.           email: tfloodee@ingr.com
  1051.           URL: http://www.ingr.com
  1052.           
  1053.    Product(s):
  1054.           VeriBest Design System
  1055.           
  1056.    Description:
  1057.           The VeriBest suite of products provides an easy to use
  1058.           ASIC/FPGA design environment. The environment will be sold in a
  1059.           software only form as the VeriBest Designer and will be bundled
  1060.           with Intergraph's TD1 hardware platform as the VeriBest Design
  1061.           System. VeriBest Designer has the following software
  1062.           components:
  1063.           
  1064.           Electronics Desktop Manager - Our electronics specific
  1065.           graphical desktop which organizes design data and launches
  1066.           applications. The Electronics Desktop manager includes the
  1067.           Design Methodology Manager, a tool that allows software
  1068.           products to be organized into an enforced process oriented flow
  1069.           (e.g., the steps required to build an ASIC or FPGA as specified
  1070.           by a silicon vendor).
  1071.           
  1072.           ACEPlus Design Entry System - Our front-end design entry editor
  1073.           that utilizes hierarchical design to organize the use of
  1074.           primitive symbols and representative blocks for Verilog source
  1075.           files or State diagrams.
  1076.           
  1077.           ACEPlus Designer - Our automatic HDL generator that takes
  1078.           schematics and state diagrams created with ACEPlus Design Entry
  1079.           System and automatically generates simulatable VHDL, Verilog
  1080.           HDL, or ABEL HDL.
  1081.           
  1082.           VeriBest Simulator - Our high performance, high capacity
  1083.           Verilog-XL compatible simulator which includes VeriScope, our
  1084.           graphical waveform viewer and simulation controller.
  1085.           
  1086.    Supports:
  1087.           Sun Sparc, Intel 486 & Pentium
  1088.           
  1089.    
  1090.      _________________________________________________________________
  1091.    
  1092.    Vendor:
  1093.           interHDL, Inc.
  1094.           4984 El Camino Real, Suite 210
  1095.           Los Altos, CA 94022-1433
  1096.           Phone: (415) 428-4200
  1097.           Fax: (415) 428-4201
  1098.           email: info@interhdl.com
  1099.           URL:
  1100.           
  1101.    Product(s):
  1102.           Veribase, Verinet, Verilint, Viper, interFlat, interVHDL
  1103.           
  1104.    Description:
  1105.           
  1106.         Veribase
  1107.                 Reads a Verilog HDL design, builds an internal database
  1108.                 and provides a set of database access functions for
  1109.                 developing in-house EDA tools. This tool supports full
  1110.                 set of the Verilog language.
  1111.                 
  1112.         Verinet
  1113.                 A subset of Veribase which handles only the gate level
  1114.                 Verilog. It also has a flattener which can flatten the
  1115.                 design hierarchy and/or busses in an orhtogonal way,
  1116.                 i.e., the two expansions are two separate functions.
  1117.                 
  1118.                 Both Veribase and Verinet are toolkits which have a
  1119.                 library of API/PLI functions for accessing the design
  1120.                 database.
  1121.                 
  1122.         Verilint
  1123.                 A semantic, synthesis, and design rules checker for
  1124.                 Verilog designs. It has its own graphical user interface
  1125.                 and a text editor. The tool allows designers to
  1126.                 interactively find and fix design errors prior to
  1127.                 simulation and synthesis.
  1128.                 
  1129.         Viper
  1130.                 A full Verilog HDL simulator that supports behavioral,
  1131.                 RTL, logic gates, and UDPs. It is fully compatible with
  1132.                 OVI's LRM 1.0 specs and Verilog-XL in terms of results
  1133.                 and usage. Uses existing scripts and makefiles for
  1134.                 verilog-XL simualtion. Interfaced to popular waveform
  1135.                 displays: SignalScan, UnderTow and Megallan. Available in
  1136.                 three versions: Viper/DOS (Personal), Viper/Windows
  1137.                 (FPGA/PLD), and Viper/UNIX (ASIC/FPGA).
  1138.                 
  1139.         interFlat
  1140.                 Reads hierarchical Verilog netlists, flattens the
  1141.                 hierarchy and writes a flat Verilog, VHDL, EDIF, or
  1142.                 FutureNet file. The operation of flattening is extremely
  1143.                 fast. This is also available in the form of a toolkit,
  1144.                 Verinet, to build in-house tools.
  1145.                 
  1146.         interVHDL
  1147.                 Converts Verilog HDL designs into functionally equivalent
  1148.                 VHDL designs. If a Verilog design is synthesizable then
  1149.                 the translated VHDL design will also synthesize and the
  1150.                 simulation results will be the same except for the
  1151.                 simulator dependencies. Does not support fork-join and
  1152.                 disable statements.
  1153.                 
  1154.    Supports:
  1155.           Sun, HP, IBM/RS6000, DEC/Alpha, SGI.
  1156.           
  1157.    
  1158.      _________________________________________________________________
  1159.    
  1160.    Vendor:
  1161.           Library Technologies, Inc.
  1162.           18837 Casa Blanca Lane
  1163.           Saratoga, CA 95070
  1164.           Phone: (408) 741-1214
  1165.           Fax: (408) 741-1214
  1166.           email: sales@libtech.com
  1167.           URL:
  1168.           
  1169.    Product(s):
  1170.           Libchar, Stimgen, Verigen, Veritest, Syntest
  1171.           
  1172.    Description:
  1173.           ASIC library generation tools, based on synthesizing ACDL
  1174.           description of the cells into target simulation and synthesis
  1175.           libraries. ACDL is ASIC Cell Description Language, proprietary
  1176.           to Library Technologies.
  1177.           
  1178.           Stimgen automatically synthesizes the timing behavior of the
  1179.           ASIC cell and generates stimuli for characterizing each of the
  1180.           timing and electrical parameters of the cell.
  1181.           
  1182.           Libchar is the automatic characterizer, which measures each of
  1183.           the electrical parameters and fits them into one of several
  1184.           delay models. Verigen converts ACDL descriptions into
  1185.           structural verilog complete with specparams and pin-to-pin
  1186.           paths using the parameters calculated by libchar.
  1187.           
  1188.           Syntest converts ACDL descriptions into RTL verilog, to be used
  1189.           for verifying synthesis libraries and technology mapping.
  1190.           
  1191.           Veritest generates a testbench to verify the functionality of
  1192.           the verilog representations of the cell.
  1193.           
  1194.    Supports:
  1195.           Sparc
  1196.           
  1197.    
  1198.      _________________________________________________________________
  1199.    
  1200.    Vendor:
  1201.           Precedence Incorporated
  1202.           4675 Stevens Creek Blvd., Suite 250
  1203.           Santa Clara, CA 95051
  1204.           Tel: (408) 345-4880
  1205.           Fax: (408) 345-4884
  1206.           email: kevinj@precedence.com
  1207.           URL:
  1208.           
  1209.    Product(s):
  1210.           SimMatrix co-simulation products:
  1211.           
  1212.         Cadence Verilog / Vantage Spreadsheet Co-simulation
  1213.         Cadence Verilog / QuickVHDL hardware emulator Co-simulation
  1214.         Cadence Verilog / EPIC Design TimeMill and PowerMill Co-sim
  1215.         Cadence Verilog / Mentor Lsim Co-simulation
  1216.         Cadence Verilog / Silvaco SmartSpice Co-simulation
  1217.         Mentor Graphics Quicksim II / Cadence Verilog-XL
  1218.         Viewlogic Viewsim - VHDL / Cadence Verilog-XL
  1219.             
  1220.    
  1221.           
  1222.    Description:
  1223.           Precedence co-simulation products allow designers to
  1224.           concurrently simulate using both Verilog-XL and the specialized
  1225.           design verification tools as shown above, simultaneously and
  1226.           transparently. This is useful for IC, ASIC and PCB simulation
  1227.           which includes blocks or models in a variety of simulators
  1228.           and/or languages. At the heart of this integrated simulation
  1229.           environment is Precedence's extensible SimMatrix simulation
  1230.           backplane.
  1231.           
  1232.    Supports:
  1233.           Sun, HP, other workstations
  1234.           
  1235.    
  1236.      _________________________________________________________________
  1237.    
  1238.    Vendor:
  1239.           Pragmatic C Software Corp.
  1240.           220 Montgomery Street, Suite 925
  1241.           San Francisco, CA 94104
  1242.           Tel: (800) 223-5017
  1243.           Fax: (415) 781-1116
  1244.           email: pverhelp@crl.com
  1245.           URL: under construction
  1246.           
  1247.    Product(s):
  1248.           Pver (Interpretive Verilog Simulator)
  1249.           
  1250.    Description:
  1251.           Complete Verilog simulator now OVI LRM compliant will be IEEE
  1252.           1364 compliant. Pver offers a Verilog simulator for large ASIC
  1253.           design at 1.5 to 2 times the cost of commercial programming
  1254.           language compilers to allow every designer to have unlimited
  1255.           access to a Verilog simulator. Price performance is at least 3
  1256.           times any other full featured Verilog simulator. Pver is
  1257.           intended to include provisional features that may later be
  1258.           added to the standard. Some features are:
  1259.           
  1260.          1. Fast one pass source translation.
  1261.          2. Efficient memory use - packing to the bit and only one
  1262.             instance of each module stored unless copies are required
  1263.             because of defparams.
  1264.          3. Programming language style debugger: GDB syntax modified for
  1265.             instance trees in addition to statement debugger.
  1266.          4. Alternative debugging features: enhanced parallel activity
  1267.             tracing and control thread and post-mortem dumping.
  1268.          5. Spike analysis with unknown injection.
  1269.          6. Added mechanism for per instance distributed primitive
  1270.             delays.
  1271.          7. Includes PLI tf_ routines but also contains features to allow
  1272.             implementation in Verilog instead of requiring PLI.
  1273.          8. Most features from Vcmp: gate eater, circuit content tables,
  1274.             lint style checking during translation and simulation, and
  1275.             warning/inform suppression by number.
  1276.          9. Good simulator for beginners because error messages explain
  1277.             what is expected and because edge processing is regular and
  1278.             complete.
  1279.         10. Good simulator for experts because Pver contains features for
  1280.             command and script based debugging.
  1281.         11. Full featured PC (Linux/OS2/DOS) and Macintosh personal
  1282.             licenses that may only be used by one designer in any 30 day
  1283.             period but do not require dongles.
  1284.         12. Geographically unlimited CPU architecture fungible floating
  1285.             workstation licenses.
  1286.             
  1287.    Thirty day free trial. Detailed release notes emailed upon request.
  1288.           
  1289.    Supports:
  1290.           PC (OS2, Linux Unix, VCPI compliant DOS), Macintosh (Machten
  1291.           BSD Unix), Sparc (SunOS 4.1x), others upon request.
  1292.           
  1293.    
  1294.      _________________________________________________________________
  1295.    
  1296.    Vendor:
  1297.           Simucad
  1298.           32970 Alvarado-Niles Road
  1299.           Union City, CA 94587
  1300.           Phone: (510) 487-9700
  1301.           Fax: (510) 487-9721
  1302.           email: silos@simucad.com
  1303.           URL:
  1304.           
  1305.    Product(s):
  1306.           Silos III
  1307.           
  1308.    Description:
  1309.           Silos III is the next generation of Simucad's Silos simulator
  1310.           which was first introduced in 1983. It is an integrated logic
  1311.           and fault simulation environment. Silos III used Verilog to
  1312.           support top-down methodology.
  1313.           
  1314.    Supports:
  1315.           Sun, VAX, HP, RS6000, MIPS, PC
  1316.           
  1317.    
  1318.      _________________________________________________________________
  1319.    
  1320.    Vendor:
  1321.           SpeedSim, Inc.
  1322.           234 Littleton Road, Suite 2E, PO Box 4035
  1323.           Westford, MA 01886
  1324.           Phone: 508-692-3737
  1325.           FAX: 508-692-1640
  1326.           Email: info@speedsim.com
  1327.           URL: http://www.speedsim.com/speedsim/
  1328.           
  1329.    Product:
  1330.           SpeedSim/3 Cycle-based Simulator; Simultaneous Test Option; &
  1331.           SMP Option
  1332.           
  1333.    Description:
  1334.           SpeedSim/3 is a Cycle-based simulator. It accepts Verilog
  1335.           gate-level, & synthesizeable subset of Verilog & VHDL RTL (Rel.
  1336.           2.0). Compliant with OVI PLI. Performance is 10 to 100 times
  1337.           faster than Chronologic (RTL level), using 1/5th the memory.
  1338.           Produces up to 2,000 cycles per second throughput on simulation
  1339.           of large designs. Compiles 1M gate design in 10 minutes into 16
  1340.           MB runtime image; SAVE/RESTORE in 4 seconds.. Detects
  1341.           Asynchronous logic & tri-state bus contentions.
  1342.           
  1343.           Simultaneous Test (TM) Option, a unique SpeedSim/3 option,
  1344.           allows up to 32 different tests, such as diagnostics or
  1345.           application program streams, to run simultaneously on the same
  1346.           image of a design model. Simultaneous Test boosts performance 5
  1347.           to 32 times over the base SpeedSim/3 product.
  1348.           
  1349.           Symmetric Multi-Processing (SMP) option was built from the
  1350.           start to get the most out of SMP technology. It's unique
  1351.           Multithread management techniques can deliver near linear
  1352.           performance gains on up to eight processors implemented in a
  1353.           single system. These processors work in tandem simulating a
  1354.           single model that yields great efficiency on a shared memory
  1355.           system. Users can economically increase performance simply by
  1356.           plugging in additional processors. This is particularly
  1357.           attractive for designs over 500,000 logic gates where engineers
  1358.           can get much faster turnaround on a long test using the
  1359.           SpeedSim/3 SMP option. With large designs, SpeedSim/3 users
  1360.           will realize near linear performance increases with minimal
  1361.           memory impact when they add additional processors.
  1362.           
  1363.    Supports:
  1364.           UNIX workstations from Sun Microsystems (SunOS, & Solaris),
  1365.           IBM, & Hewlett Packard.
  1366.           
  1367.    
  1368.      _________________________________________________________________
  1369.    
  1370.    Vendor:
  1371.           Sunrise Test Systems
  1372.           2730 SanTomas Expressway #200
  1373.           Santa Clara, CA 95051
  1374.           Phone: 408-980-7600
  1375.           Fax: 408-980-7630
  1376.           email: info@srtest.com
  1377.           URL:
  1378.           
  1379.    Product(s):
  1380.           TestGen (TM), FaultSim, START, IddQTest, PathTest (TM),
  1381.           ParallelTestgen
  1382.           
  1383.    Description:
  1384.           Sunrise Test Systems offers Test Synthesis, Design for test
  1385.           tools and Testability analysis tools based on Verilog. Its
  1386.           products include Automatic Test Pattern Generation tools for
  1387.           stuck-at, IddQ and path delay faults. Verilog drivers are
  1388.           created for the vectors generated by the tool for verification
  1389.           using verilog simulator. Test vector interfaces are provided to
  1390.           support most of the ASIC vendor simulators (LSI, Toshiba,
  1391.           Motorola, VLSI, Fujitsu, Mitsubishi, NEC etc.) and commercial
  1392.           simulators such as verilog XL, Zycad, Ikos. TSSI WGL format is
  1393.           also supported.
  1394.           
  1395.         TestGen
  1396.                 Automatic Test Pattern Generator which generates high
  1397.                 coverage tests for stuck-at-fault models. Testgen is
  1398.                 complemented by a high performance test vector compactor
  1399.                 that leads to lowered test application times. Testgen is
  1400.                 an industry standard test generation tool that
  1401.                 incorporates patented test generation algorithms. It has
  1402.                 been successfully used on a wide variety of
  1403.                 design-for-test methodologies such as full scan, almost
  1404.                 full scan and partial scan circuits.
  1405.                 
  1406.         FaultSim
  1407.                 High performance fault simulation tool that uses the
  1408.                 least amount of memory and run time. Can be used
  1409.                 stand-alone or with the Testgen tool.
  1410.                 
  1411.         START
  1412.                 Sunrise Testability Analysis and Rule checking tool is a
  1413.                 collection of programs used for testability analysis and
  1414.                 test logic synthesis. START includes LITE program that
  1415.                 can stitch scan chains, insert structured non-scan test
  1416.                 logic such as control and observe logic with the least
  1417.                 impact on area and performance. Any netlist modifications
  1418.                 are done preserving the design hierarchy. START can be
  1419.                 used to identify flops to be scanned for partial scan
  1420.                 design-for-test methodology. START includes a design rule
  1421.                 check program that can verify scan chains, check for
  1422.                 various design-for-test rules. IEE1149.1 (JTAG) standard
  1423.                 compliance checking software is a part of START. START
  1424.                 also contains an interactive logic simulator and a
  1425.                 circuit browser.
  1426.                 
  1427.         IddQtest
  1428.                 An automatic test pattern generator for IddQ faults. It
  1429.                 generates a compact setof test vectors yet achieving high
  1430.                 IddQ fault coverage. It can also be used to identify IddQ
  1431.                 strobe points in a user supplied vector set such as
  1432.                 fucntional vectors.
  1433.                 
  1434.         PathTest
  1435.                 An automatic test pattern generator for path delay (slow
  1436.                 to rise/fall) faults. This is very useful for part
  1437.                 binning and design debugging.
  1438.                 
  1439.         Parallel Testgen
  1440.                 Automatic test pattern generator that exploits a network
  1441.                 of heterogeneous UNIX work stations. Test generation time
  1442.                 on sequential circuits can be significantly reduced by
  1443.                 using this product.
  1444.                 
  1445.    
  1446.           
  1447.    Supports:
  1448.           Sun Sparc, HP PA-RISC, IBM RS6000, SGI
  1449.           
  1450.    
  1451.      _________________________________________________________________
  1452.    
  1453.    Vendor:
  1454.           Synopsys Inc
  1455.           700 East Middlefield Road
  1456.           Mountain View, CA 94043
  1457.           Phone: (415) 962-5000
  1458.           Fax: (415) 965-8637
  1459.           email: ?
  1460.           URL:
  1461.           
  1462.    Product(s):
  1463.           HDL Compiler(tm) for Verilog
  1464.           
  1465.    Description:
  1466.           The HDL Compiler family provides translation and architectural
  1467.           optimization of Verilog design descriptions prior to logic
  1468.           synthesis. It's architectural optimization is based on resource
  1469.           selection and implementation of DesignWare(tm) Synthetic
  1470.           Designs. The HDL Compiler family works with the
  1471.           industry-leading logic synthesis and test synthesis product
  1472.           lines, Design Compiler(tm) and Test Compiler(tm), to quickly
  1473.           produce designs that are often smaller and faster than is
  1474.           possible using schematic capture techniques.
  1475.           
  1476.    Supports:
  1477.           Most workstation platforms
  1478.           
  1479.    
  1480.      _________________________________________________________________
  1481.    
  1482.    Vendor:
  1483.           Systems Science Inc.
  1484.           1860 Embarcadero Rd., Suite 260
  1485.           Palo Alto, CA 94303
  1486.           Phone: (415) 812-1800
  1487.           Fax: (415) 812-1820
  1488.           email: info@systems.com
  1489.           URL:
  1490.           
  1491.    Product(s):
  1492.           MAGELLAN, POWERFAULT, POWERSIM, VERA, VERITY
  1493.           
  1494.    Description:
  1495.           MAGELLAN - Graphic source-level debugger and waveform display.
  1496.           Runs with interactive Verilog, from VCD dumps, or from SSI's
  1497.           VCD++ binary, indexed files. Can load and display files of over
  1498.           200Mb almost instantaneously. Loaded with powerful features.
  1499.           
  1500.           POWERFAULT - A push-button IDDQ solution for Verilog designs.
  1501.           It finds near-optimal IDDQ vectors, and generates detailed
  1502.           fault coverage reports. It can be used in conjunction with
  1503.           conventional fault simulators to increase the coverage, or
  1504.           independently, by itself. Handles both "stuck-at" and "short"
  1505.           faults.
  1506.           
  1507.           POWERSIM - Get accurate power information, at an early stage in
  1508.           the design. Use your unmodified Verilog-HDL sources, plus
  1509.           backannotated capacitance and voltage information. Compute the
  1510.           dynamic power usage for the whole circuit, or for portions of
  1511.           the hierarchy. Avoid heat, power, and metal migration problems.
  1512.           
  1513.           
  1514.           VERA - A design verification system, which allows design and
  1515.           verification engineers to thoroughly exercise complex Verilog
  1516.           circuits. Users create compact and powerful test benches in the
  1517.           high level Vera language. Vera verifies the design by
  1518.           simulating the test bench, while it talks via PLI with a
  1519.           Verilog simulator that simulates the design.
  1520.           
  1521.           VERITY - A kit for tool developers that handles the full
  1522.           Verilog-HDL language, and provides the following self-contained
  1523.           modules with their corresponding APIs: (a) parser, (b)
  1524.           hierarchical database builder and navigator, (c) elaborator and
  1525.           flattener, (d) behavioral to compiled code (machine code for
  1526.           SPARC, C for other architectures), and (e) fault divergence and
  1527.           convergence.
  1528.           
  1529.    Supports:
  1530.           SPARC, HP700
  1531.           
  1532.    
  1533.      _________________________________________________________________
  1534.    
  1535.    Vendor:
  1536.           Verilog Consulting Service
  1537.           885 N. San Antonio, Suite S
  1538.           Los Altos, CA 94022
  1539.           Phone: 1-415-917-3800
  1540.           FAX:
  1541.           Email: vcs-info@webnexus.com
  1542.           URL: http://www.vcs.webnexus.com
  1543.           
  1544.    Product:
  1545.           Consulting Services
  1546.           
  1547.    Description:
  1548.           Verilog Consulting Service was created by more than a dozen
  1549.           members of Chronologic Simulation, who left that company, which
  1550.           was then a subsidary of Viewlogic Systems, in a sercurities
  1551.           fraud allegation against Viewlogic Systems, relating to the
  1552.           merger of Chronologic Simulation and Viewlogic.
  1553.           
  1554.           First and foremost, V.C.S. apologizes deeply for the
  1555.           inconvenience and concern that this action may have caused you,
  1556.           the VCS user community. We feel a strong loyalty to you and
  1557.           know that our leaving does not make your job easier.
  1558.           
  1559.           We are available to help you with any questions you may have
  1560.           about VCS. We cannot make enhancements or changes to the
  1561.           product -- we don't have the source code. However, we are
  1562.           available to answer questions on general usage, ways to use VCS
  1563.           more efficiently or suggestions on how to work around the
  1564.           occasional bug. We don't want you to be dead in the water.
  1565.           
  1566.           We are also available for general Verilog HDL consulting and
  1567.           training. We represent experts in Verilog HDL, auxiliary
  1568.           programs using PLI and of course, a lot of knowledge about how
  1569.           to best use VCS. While we are working on an agreement with
  1570.           Viewlogic, we are available to help on your projects. We have a
  1571.           lot of energy and want to devote it to solving problems
  1572.           important to our customers. We look forward to hearing from
  1573.           you.
  1574.           
  1575.           This offer of help should in no way be construed as competitive
  1576.           to VCS or Viewlogic. We are not currently available to write a
  1577.           new simulator or consult on how to make a competing simulator
  1578.           faster. We hope to apply that knowledge to VCS again one day
  1579.           soon.
  1580.           
  1581.           Please feel free to contact any of us with questions.
  1582.           
  1583.    
  1584.      _________________________________________________________________
  1585.    
  1586.    Vendor:
  1587.           Veritools Inc.
  1588.           161 S. San Antonio Road, Suite 6A
  1589.           Los Altos, CA 94022
  1590.           Phone: (415) 941-5050
  1591.           Fax: (415) 941-5552
  1592.           email: inquiry@veritools.com
  1593.           URL:
  1594.           
  1595.    Product(s):
  1596.           Undertow, veriLINT, Snapsim, VLTool, Nettool, interVHDL, Vflat,
  1597.           openHDL Toolkit, Typetool, Metatools, VerilogSIMULATOR, flowHDL
  1598.           
  1599.           
  1600.    Description:
  1601.           Veritools software products are software tools specifically
  1602.           designed for verilog users or CAD groups using Verilog.
  1603.           
  1604.           Veritools software is available via anonymous ftp at
  1605.           netcom.netcom.com (192.100.81.100) in the directory
  1606.           pub/veritools.
  1607.           
  1608.    Supports:
  1609.           Sun, HP, PC?
  1610.           
  1611.    
  1612.      _________________________________________________________________
  1613.    
  1614.    Vendor:
  1615.           Vista Technologies, Inc.
  1616.           1100 Woodfield Road
  1617.           Schaumburg, IL 60173-5121 USA
  1618.           Phone: (708) 706-9300
  1619.           FAX: (708) 706-9317
  1620.           email: info@vistatech.com
  1621.           URL:
  1622.           
  1623.    Product(s):
  1624.           StateVision, DesignVision, Vista Model Creator
  1625.           
  1626.    Description:
  1627.           All of Vista's tools generate Verilog suitable for simulation,
  1628.           with optional generation specifically for synthesis. All of
  1629.           Vista's tools allow users to import Verilog include files so
  1630.           that custom parameters, functions and tasks can be utilized.
  1631.           DesignVision and StateVision can be integrated with
  1632.           off-the-shelf Verilog simulators for a complete design and
  1633.           debug environment (set breakpoints in the diagram, step with
  1634.           animation, etc.)
  1635.           
  1636.         StateVision (tm) for Verilog(r)
  1637.                 Graphical state machine editor. Generates Verilog from
  1638.                 bubble diagrams of concurrent state-machines. Open and
  1639.                 customizable system. Shipping 4Q94.
  1640.                 
  1641.         DesignVision (tm) for Verilog(r)
  1642.                 Graphical behavior modeling editor. Uses the DesignVision
  1643.                 methodology ("threads") for specifying behavior
  1644.                 graphically. Open and customizable system. Users can
  1645.                 customize the generated Verilog to their own style and
  1646.                 build their own graphical primitives (including how the
  1647.                 primitives generate Verilog). Shipping now.
  1648.                 
  1649.         Vista Model Creator (tm) for Verilog(r)
  1650.                 Spreadsheet-like interface that generates Verilog for
  1651.                 simulation or synthesis. Compact representation ideal for
  1652.                 ALUs, instruction decoders, etc. Designers have control
  1653.                 over the Verilog datatypes used. Files developed with the
  1654.                 Verilog Model Creator can be read into the Vista Model
  1655.                 Creator for VHDL. Shipping now.
  1656.                 
  1657.    
  1658.           
  1659.    Supports:
  1660.           Sparc, DECstation (Ultrix), IBM RS/6000, HP 9000/7xx
  1661.           
  1662.    
  1663.      _________________________________________________________________
  1664.    
  1665.    Vendor:
  1666.           Wellspring Solutions, Inc.
  1667.           P.O. Box 150
  1668.           Sutton, MA 01590
  1669.           Phone: (508) 865-7271
  1670.           Fax: (508) 865-1113
  1671.           email: info@wellspring.com
  1672.           URL:
  1673.           
  1674.    Product(s):
  1675.           VeriWell, Gates & Timing Preference Module, Standard Delay
  1676.           Format (SDF) Preference Module, VeriWaves Preference Module
  1677.           
  1678.    Description:
  1679.           
  1680.         VeriWell
  1681.                 The EDA industry's lowest cost Verilog simulator,
  1682.                 VeriWell is an interactive Verilog HDL Simulator for
  1683.                 multiplatforms that provides full implementation of
  1684.                 behavioral- and RTL-level simulation, OVI LRM 1.0
  1685.                 compliance, and XL compatibility and performance.
  1686.                 Wellspring's Modular Architecture unbundles major aspects
  1687.                 of the core simulator that allows user to add Preference
  1688.                 functionality as design process changes, saving tool and
  1689.                 development costs. VeriWell also features timescales,
  1690.                 VCDs, synthesis syntax checking, 1st-pass semantic
  1691.                 checking, and debugging features.
  1692.                 
  1693.                 VeriWell/Free for MS-DOS, Windows, Macintosh, Sparc, and
  1694.                 Linux is a full-featured free version of the VeriWell
  1695.                 simulator available via BBS at 1-508-865-1113 and via
  1696.                 anonymous ftp at
  1697.                 ftp://iii.net/pub/pub-site/wellspring/...
  1698.                 
  1699.         Gates & Timing Preference
  1700.                 This option adds User-Defined Primitives (UDPs) and
  1701.                 Specify Blocks. The implementation of UDPs is optimized
  1702.                 for performance, utilizing state-of-the-art scheduling
  1703.                 and table-lookup techniques. The Gates & Timing
  1704.                 Preference is available for all supported environments.
  1705.                 
  1706.         Standard Delay Format (SDF) Preference
  1707.                 The SDF optional module will be available soon for all
  1708.                 supported platforms and environments.
  1709.                 
  1710.         VeriWaves Preference
  1711.                 Wellspring's enhanced, full-featured waveform viewer will
  1712.                 be available soon as an optional module for MS-DOS,
  1713.                 Windows, Macintosh, and OS/2 (as a seamless Windows
  1714.                 application).
  1715.                 
  1716.    
  1717.           
  1718.    Supports:
  1719.           386-, 486-, Pentium-based PCs running MS-DOS 5
  1720.           Macintosh running System 7
  1721.           Sparc and Sparc-compatible stations running SunOS and Solaris
  1722.           Windows 3.1, Windows NT, Windows '95
  1723.           OS/2 Warp 3.0
  1724.           Linux
  1725.           
  1726.    
  1727.      _________________________________________________________________
  1728.    
  1729. Subject: A02: Books and Reference material on Verilog - REF_FAQ v1b0
  1730.  
  1731.  
  1732.  
  1733.         [provided by Cliff Cummings - cliffc@qualis.com]
  1734.  
  1735.         Alphabetical listing of materials by category:
  1736.         * New or revised since REF_FAQ v1b0
  1737.           (acknowledgement to Fjthomas@aol.com for corrections)
  1738.  
  1739.         VERILOG REFERENCE MATERIALS
  1740.         ===========================
  1741.         *(R3) "DIGITAL DESIGN AND SYNTHESIS WITH VERILOG HDL", by
  1742.                 E. Sternheim, R. Singh, Y. Trivedi, R. Madhavan and
  1743.                 W. Stapleton
  1744.         *(R2) "DIGITAL DESIGN WITH VERILOG HDL", by
  1745.                 E. Sternheim, R.  Singh and Y. Trivedi
  1746.         *(R7) Feature Columns by L. Saunders and Y. Trivedi, regular
  1747.                 columns in Integrated System Design Magazine
  1748.                 (formerly ASIC & EDA Magazine)
  1749.          (R4) "QUICK REFERENCE FOR VERILOG HDL", by
  1750.                 R. Madhavan
  1751.         *(R6) "SUCCESSFUL ASIC DESIGN THE FIRST TIME THROUGH", by
  1752.                 J. Huber and M. Rosneck
  1753.          (R1) "THE VERILOG HARDWARE DESCRIPTION LANGUAGE", by
  1754.                 D. Thomas and P. Moorby
  1755.      NEW*(R8) "THE VERILOG HARDWARE DESCRIPTION LANGUAGE, Second
  1756.                 Edition", by D. Thomas and P. Moorby
  1757.         *(R5) "VERILOG HDL 2.0 LANGUAGE REFERENCE GUIDE", by
  1758.                 Sutherland HDL Consulting
  1759.  
  1760.         OPEN VERILOG INTERNATIONAL (OVI) REFERENCE MATERIALS
  1761.         ====================================================
  1762.          (O6) "1993 OVI DIRECTORY OF SUPPORT FOR VERILOG HDL"
  1763.         *(O1) "LANGUAGE REFERENCE MANUAL" (LRM), Version 2.0
  1764.          (O7) "OPENEXCHANGE"
  1765.          (O8) "OPENEXCHANGE" (Back Issues)
  1766.         *(O4) "PROCEEDINGS FROM '92 OVI USER GROUP MEETING"
  1767.         *(O5) "PROCEEDINGS FROM '93 INT'L VERILOG HDL CONF."
  1768.      NEW*(O9) "PROCEEDINGS FROM '94 INT'L VERILOG HDL CONF."
  1769.         *(O2) "PROGRAMMING LANGUAGE INTERFACE" (PLI), Version 2.0
  1770.         *(O3) "STANDARD DELAY FILE FORMAT MANUAL" (SDF), Version 2.0
  1771.  
  1772.         VENDOR REFERENCE MATERIALS
  1773.         ==========================
  1774.          (V4) "CADENCE VERILOG TRAINING COURSE LABS & SOLUTIONS"
  1775.          (V3) "CADENCE VERILOG-XL TRAINING COURSE"
  1776.          (V2) "GRAPHICAL OUTPUT FOR THE VERILOG PRODUCT FAMILY REFERENCE"
  1777.          (V5) "VERILOG HDL TRAINING COURSE", by Sutherland HDL Consulting
  1778.          (V1) "VERILOG-XL REFERENCE MANUAL"
  1779.  
  1780.         REF_FAQ REFERENCE-INCLUSION POLICY
  1781.         ==================================
  1782.  
  1783. VERILOG REFERENCE MATERIALS
  1784. ===========================
  1785.  
  1786. (R1)  "THE VERILOG HARDWARE DESCRIPTION LANGUAGE", by D. Thomas
  1787.        and P. Moorby.
  1788.        ISBN 0-7923-9126-8
  1789.  
  1790.        :        |  :
  1791.        Kluwer Academic Publishing Co.   |  Kluwer Academic Publishers Group
  1792.        Order Department                 |  Order Department
  1793.        P.O. Box 358                     |  P.O. Box 322
  1794.        Hingham, MA 02018                |  3300 AH Dordrecht
  1795.                                         |  The Netherlands
  1796.        Phone: 617-871-6600              |  Phone: +31 78 524400
  1797.        FAX:   617-871-6528              |  FAX:   +31 78 524474
  1798.        e-mail: kluwer@world.std.com     |  e-mail: services@wkap.nl
  1799.  
  1800.        - Text examples are available upon e-mail request to
  1801.          thomasmoorbybook@cadence.com
  1802.        - A personal favorite. Good insights into the Verilog
  1803.          language by P. Moorby, one of the original authors of
  1804.          Verilog. (Submitted by Cliff Cummings)
  1805.  
  1806. (R2)  "DIGITAL DESIGN WITH VERILOG HDL", by E. Sternheim, R. Singh
  1807.        and Y. Trivedi.
  1808.        ISBN 0-9627488-0-3
  1809.  
  1810.        Automata Publishing Company,
  1811.        1072 S. Saratoga-Sunnyvale Rd., Bldg. A107, San Jose, CA 95129
  1812.        Phone: 408-255-0705
  1813.        FAX: 408-253-7916
  1814.        E-mail: >
  1815.  
  1816.        Or Contact Raj Singh, Phone: 415-428-4200
  1817.                              E-mail: rajvir@interhdl.com
  1818.  
  1819.        - Comes with a DOS-format floppy disk which includes all text
  1820.          examples.
  1821.        - Only complaint is that the book has no index.
  1822.        - Y. Trivedi has a regular column in Integrated System Design
  1823.          magazine.
  1824.        (Submitted by Cliff Cummings)
  1825.  
  1826. (R3)  "DIGITAL DESIGN AND SYNTHESIS WITH VERILOG HDL", by E. Sternheim,
  1827.        R. Singh, Y. Trivedi, R. Madhavan and W. Stapleton.
  1828.        ISBN 0-9627488-2-X
  1829.  
  1830.        Automata Publishing Company,
  1831.        1072 S. Saratoga-Sunnyvale Rd., San Jose, CA 95129
  1832.        Phone: 408-255-0705
  1833.        FAX: 408-253-7916
  1834.        E-mail: >
  1835.  
  1836.        Or Contact Raj Singh, Phone: 415-428-4200
  1837.                              E-mail: rajvir@interhdl.com
  1838.  
  1839.        - Revised edition of (R2) with added 75 page Synthesis
  1840.          chapter, 60-page Verilog HDL semantics chapter, and can
  1841.          be purchased with a PC Verilog Simulator.
  1842.        - This book DOES have an index.
  1843.        - PC Simulator: "This is a full Verilog simulator with the
  1844.          following exceptions: no PLI, specify blocks are ignored,
  1845.          no switch level constructs but gates and primitives are
  1846.          supported. Also there is a size limitation on the design."
  1847.          (from Eli Sternheim).
  1848.  
  1849. (R4)  "QUICK REFERENCE FOR VERILOG HDL", by R. Madhavan
  1850.        ISBN 0-9627488-4-6 - 1993
  1851.  
  1852.        Automata Publishing Company,
  1853.        1072 S. Saratoga-Sunnyvale Rd., #A107,  San Jose, CA 95129
  1854.        Phone: 408-255-0705
  1855.        FAX:   408-253-7916
  1856.        E-mail: help@apc.com
  1857.  
  1858.        Or Contact Raj Singh, Phone: 408-749-8775, FAX: 408-749-8823
  1859.                              E-mail: rajvir@interhdl.com
  1860.  
  1861.        Automata Verilog Quick Reference
  1862.        ================================
  1863.        Advantages:    - 24 pages - Spiral Bound.
  1864.                       - Intended to provide a quick reference for
  1865.                         semantics and examples.
  1866.                       - 3-page section on Synthesis supported/unsupported
  1867.                         constructs.
  1868.        Disadvantages: - 24 Table of Content entries. No index.
  1869.                       - Synthesis section reportedly differs from the OVI
  1870.                         synthesis guidelines.
  1871.                       - Lists Net data types but not all of the Reg data
  1872.                         types, pp 2-3.
  1873.                       - Missing keywords: casex, casez, edge, endspecify,
  1874.                         macromodule, strength, xnor, xor, pg 4.
  1875.                       - Only lists 4 compiler directives, omits `timescale
  1876.                         (among others), pp 3-4
  1877.                       - Lists only three $system tasks ($time, $finish,
  1878.                         $setuphold scattered throughout examples).
  1879.                       - Typo: Combinational 3:1 MUX UDP example mis-labeled
  1880.                         as "inverted out", pg 7.
  1881.                       - Other minor omissions.
  1882.        Recommendation: The Quick Reference would be enhanced by a
  1883.        fine-print keyword and key-topic index inside the back cover.
  1884.        (Note from Rajeev Madhavan: many of the above issues will be
  1885.        addressed in the pending next revision)
  1886.        (Submitted by Cliff Cummings)
  1887.  
  1888. (R5)  "VERILOG HDL 2.0 LANGUAGE REFERENCE GUIDE", by Sutherland HDL
  1889.        Consulting - Nov 1994
  1890.  
  1891.        Sutherland HDL Consulting, 2417 Redwood Ct.
  1892.        Longmont, CO 80503
  1893.        Phone: 303-682-8864
  1894.        FAX:   303-682-8864 (same number)
  1895.        E-mail: stuart@sutherland.com (Stuart Sutherland)
  1896.  
  1897.        Sutherland Verilog Quick Reference
  1898.        ==================================
  1899.        Advantages:    - 24 pages.
  1900.                       - Intended to be a quick reference language
  1901.                         syntax guide.
  1902.                       - Lists 16 compiler directives including `timescale.
  1903.                       - Lists ~25 $system tasks, including 7
  1904.                         specify-block timing checks, $monitor,
  1905.                         $display, $stop, file-I/O tasks.
  1906.                       - Based on March 1993 - OVI 2.0 Spec (includes
  1907.                         some newer Verilog constructs)
  1908.        Disadvantages: - 44 Table of Content entries. No index.
  1909.                       - No Synthesis section (but not necessarily
  1910.                         useful to, or needed by all Verilog users).
  1911.        Recommendation: The Quick Reference would be enhanced by a
  1912.        fine-print keyword and key-topic index inside the back cover.
  1913.        (Submitted by Cliff Cummings)
  1914.  
  1915. (R6)  "SUCCESSFUL ASIC DESIGN THE FIRST TIME THROUGH", by
  1916.       J. Huber and M. Rosneck.
  1917.       ISBN 0-442-00312-9
  1918.       Mark Rosneck's e-mail address: mark_rosneck@mentorg.com
  1919.  
  1920.       Van Nostrand Reinhold
  1921.       Mail Order Department
  1922.       P.O. Box 668
  1923.       Florence, KY 41022-0668
  1924.       1-800-354-9706
  1925.  
  1926.       (The material in this book is) not limited to Verilog, but
  1927.       it does give a good, practical introduction to the processes
  1928.       and tradeoffs involved in designing an ASIC. (Submitted by
  1929.       Daniel Sears)
  1930.  
  1931. (R7)   Feature Columns by L. Saunders and Y. Trivedi, regular columns
  1932.        in Integrated System Design Magazine (formerly ASIC & EDA Magazine)
  1933.  
  1934.        Integrated System Design
  1935.        5150 El Camino Real Ste D31,
  1936.        Los Altos, CA 94022-9873
  1937.  
  1938.        Free subscription for qualified readers:
  1939.        To qualify by phone: (800) 643-READ (7323)
  1940.        Subscribe onlnie to http://www.netline.com/isd
  1941.        Interactive online subscription number: telnet asic.com 2110
  1942.  
  1943.        Monthly (occasionally missed) column covering Verilog and
  1944.        VHDL modeling topics. Well worth reading. (Submitted by Cliff
  1945.        Cummings)
  1946.  
  1947. (R8)  "THE VERILOG HARDWARE DESCRIPTION LANGUAGE, Second Edition",
  1948.        by D. Thomas and P. Moorby.
  1949.        ISBN 0-7923-9523-9
  1950.  
  1951.        :        |  :
  1952.        Kluwer Academic Publishing Co.   |  Kluwer Academic Publishers Group
  1953.        Order Department                 |  Order Department
  1954.        P.O. Box 358                     |  P.O. Box 322
  1955.        Hingham, MA 02018                |  3300 AH Dordrecht
  1956.                                         |  The Netherlands
  1957.        Phone: 617-871-6600              |  Phone: +31 78 524400
  1958.        FAX:   617-871-6528              |  FAX:   +31 78 524474
  1959.        e-mail: kluwer@world.std.com     |  e-mail: services@wkap.nl
  1960.  
  1961.        This fully revised Second Edition features:
  1962.        -- new and more detailed examples
  1963.        -- a more formal presentation of the language
  1964.        -- comprehensive cross-references for each section
  1965.        -- a disk containing a DOS version of the VeriWell(tm)
  1966.           Verilog simulator as well as examples from the book.
  1967.           The simulator can be used to solve the examples.
  1968.           (Submitted by Eric Maki of Kluwer Academic Publishers)
  1969.  
  1970. OPEN VERILOG INTERNATIONAL (OVI) REFERENCE MATERIALS
  1971. ====================================================
  1972.       OVI is the organization charged with Verilog standardization
  1973.       and language enhancements. OVI is currently pursuing Verilog
  1974.       IEEE and ISO standardization.
  1975.  
  1976.       For the following publications contact Lynn Horobin at the
  1977.       OVI office.
  1978.  
  1979.       Open Verilog International
  1980.       Lynn Horobin
  1981.       15466 Los Gatos Blvd., Suite 109-071
  1982.       Los Gatos, CA 95032
  1983.       Phone: (408) 353-8899 -- FAX: (408) 353-8869
  1984.       e-mail: ovi@netcom.com
  1985.  
  1986. (O1)  "LANGUAGE REFERENCE MANUAL" (LRM), Version 2.0*
  1987.       - $100 per copy, plus local sales tax
  1988.  
  1989. (O2)  "PROGRAMMING LANGUAGE INTERFACE" (PLI), Version 2.0*
  1990.       - $150 per copy, plus local sales tax
  1991.  
  1992. (O3)  "STANDARD DELAY FILE FORMAT MANUAL" (SDF), Version 2.0*
  1993.       - $100 per copy, plus local sales tax
  1994.  
  1995. (O4)  "PROCEEDINGS FROM '92 OVI USER GROUP MEETING",
  1996.  
  1997.  
  1998. (O5)  "PROCEEDINGS FROM '93 INT'L VERILOG HDL CONF.",
  1999.  
  2000.  
  2001. (O6)  "1994 OVI DIRECTORY OF SUPPORT FOR VERILOG HDL",
  2002.       - No charge
  2003.  
  2004. (O7)  "OPENEXCHANGE", monthly OVI publication,
  2005.       - No charge
  2006.  
  2007. (O8)  "OPENEXCHANGE" (Back Issues), monthly OVI publication,
  2008.       - $5 per copy
  2009.  
  2010. (O9)  "PROCEEDINGS FROM '94 INT'L VERILOG HDL CONF.",
  2011.       - $50 per copy, plus local sales tax
  2012.  
  2013. * Versions 1.0 of the LRM, PLI and SDF are still available.
  2014.  
  2015. VENDOR REFERENCE MATERIALS (Have requested an update from Cadence)
  2016. ==========================
  2017.  
  2018. (V1)  "VERILOG-XL REFERENCE MANUAL", 3 Volumes, Version 1.6c June
  2019.        1993 (Contact your local Cadence sales office)
  2020.  
  2021.        - A good set of reference manuals with examples, after you
  2022.          have learned Verilog, or if you have a specific question.
  2023.          (Submitted by Cliff Cummings)
  2024.  
  2025. (V2)  "GRAPHICAL OUTPUT FOR THE VERILOG PRODUCT FAMILY REFERENCE
  2026.        MANUAL", Version 1.1f September 1989, Version 1.1f September
  2027.        1989 Release notes, Version 1.2b November 1990 Release notes.
  2028.        (Contact your local Cadence sales office)
  2029.  
  2030.        - Explains the commands that are used with the GR_WAVES graphics
  2031.          package. (Submitted by Cliff Cummings)
  2032.  
  2033. (V3)  "CADENCE VERILOG-XL TRAINING COURSE", Version 3.3, August 1991.
  2034.        (Contact your local Cadence sales office)
  2035.  
  2036.        - Reasonable training materials.
  2037.        - A number of the training slides contain examples with minor
  2038.          syntax errors and other examples that must be corrected before
  2039.          they will run (it is obvious that not all of the training
  2040.          examples were tested).
  2041.        - The training course notebook does not have an index and really
  2042.          needs one. (Submitted by Cliff Cummings)
  2043.  
  2044. (V4)  "CADENCE VERILOG TRAINING COURSE LABS & SOLUTIONS", Release 3.3.a
  2045.        April 14, 1992. (Contact your local Cadence sales office)
  2046.  
  2047.        - No index, but a good set of labs to accompany the training
  2048.          course. (Submitted by Cliff Cummings)
  2049.  
  2050. (V5)  "VERILOG HDL TRAINING COURSE", by Sutherland HDL Consulting
  2051.  
  2052.        Sutherland HDL Consulting, 2417 Redwood Ct.
  2053.        Longmont, CO 80503
  2054.        Phone: 303-682-8864
  2055.        FAX:   303-682-8864 (same number)
  2056.        E-mail: stuart@sutherland.com (Stuart Sutherland)
  2057.  
  2058. REF_FAQ REFERENCE-INCLUSION POLICY
  2059. ==================================
  2060.         If anyone is aware of other Verilog reference materials, please
  2061.         forward the information to Cliff Cummings -
  2062.         cliffc@qualis.com
  2063.  
  2064.         REF_FAQ Reference-Inclusion Policy:
  2065.  
  2066.         (1) Materials should be released and publicly available
  2067.             (pre-release announcements will no longer be included in
  2068.             REF_FAQ).
  2069.  
  2070.         (2) Publisher/Vendor pricing information will be added only upon
  2071.             Publisher/Vendor request (exception: OVI published prices
  2072.             have been noted).
  2073.  
  2074.         (3) Reviews, if added, will be credited to the reviewer.
  2075.  
  2076.         (4) Magazines will only be listed if they carry a regular
  2077.             Verilog-related column (such as Integrated System
  2078.             Design(R7)).
  2079.  
  2080.         (5) These policies are subject to suggestions and change!
  2081.  
  2082. --
  2083. Steve Phillips                (715) 726-5412                   sjp@cray.com
  2084.  
  2085.