home *** CD-ROM | disk | FTP | other *** search
/ Chip 2007 November / CPNL0711.ISO / communic / email / Evolution-2.8.2-2.msi / Data1.cab / vhdl.lang < prev    next >
Extensible Markup Language  |  2007-03-07  |  5KB  |  165 lines

  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!DOCTYPE language SYSTEM "language.dtd">
  3. <language _name="VHDL" version="1.0" _section="Sources" mimetypes="text/x-vhdl">
  4.     
  5.     <line-comment _name = "Line Comment" style= "Comment">
  6.         <start-regex>--</start-regex>
  7.     </line-comment>
  8.  
  9.     <string _name = "String" style = "String" end-at-line-end = "TRUE">
  10.         <start-regex>"</start-regex>
  11.         <end-regex>"</end-regex>
  12.     </string>
  13.  
  14.     <pattern-item _name = "Character Constant" style = "String">
  15.         <regex>'.'</regex>
  16.     </pattern-item>
  17.  
  18.     <keyword-list _name = "Keyword" style = "Keyword" case-sensitive="FALSE">
  19.         <keyword>access</keyword>
  20.         <keyword>after</keyword>
  21.         <keyword>alias</keyword>
  22.         <keyword>all</keyword>
  23.         <keyword>architecture</keyword>
  24.         <keyword>array</keyword>
  25.         <keyword>assert</keyword>
  26.         <keyword>attribute</keyword>
  27.         <keyword>begin</keyword>
  28.         <keyword>block</keyword>
  29.         <keyword>body</keyword>
  30.         <keyword>buffer</keyword>
  31.         <keyword>bus</keyword>
  32.         <keyword>case</keyword>
  33.         <keyword>component</keyword>
  34.         <keyword>configuration</keyword>
  35.         <keyword>constant</keyword>
  36.         <keyword>disconnect</keyword>
  37.         <keyword>downto</keyword>
  38.         <keyword>else</keyword>
  39.         <keyword>elsif</keyword>
  40.         <keyword>end</keyword>
  41.         <keyword>entity</keyword>
  42.         <keyword>exit</keyword>
  43.         <keyword>file</keyword>
  44.         <keyword>for</keyword>
  45.         <keyword>function</keyword>
  46.         <keyword>generate</keyword>
  47.         <keyword>generic</keyword>
  48.         <keyword>group</keyword>
  49.         <keyword>guarded</keyword>
  50.         <keyword>if</keyword>
  51.         <keyword>impure</keyword>
  52.         <keyword>in</keyword>
  53.         <keyword>inertial</keyword>
  54.         <keyword>inout</keyword>
  55.         <keyword>is</keyword>
  56.         <keyword>label</keyword>
  57.         <keyword>library</keyword>
  58.         <keyword>linkage</keyword>
  59.         <keyword>literal</keyword>
  60.         <keyword>loop</keyword>
  61.         <keyword>map</keyword>
  62.         <keyword>new</keyword>
  63.         <keyword>next</keyword>
  64.         <keyword>null</keyword>
  65.         <keyword>of</keyword>
  66.         <keyword>on</keyword>
  67.         <keyword>open</keyword>
  68.         <keyword>others</keyword>
  69.         <keyword>out</keyword>
  70.         <keyword>package</keyword>
  71.         <keyword>port</keyword>
  72.         <keyword>postponed</keyword>
  73.         <keyword>procedure</keyword>
  74.         <keyword>process</keyword>
  75.         <keyword>pure</keyword>
  76.         <keyword>range</keyword>
  77.         <keyword>record</keyword>
  78.         <keyword>register</keyword>
  79.         <keyword>reject</keyword>
  80.         <keyword>report</keyword>
  81.         <keyword>return</keyword>
  82.         <keyword>select</keyword>
  83.         <keyword>severity</keyword>
  84.         <keyword>signal</keyword>
  85.         <keyword>shared</keyword>
  86.         <keyword>subtype</keyword>
  87.         <keyword>then</keyword>
  88.         <keyword>to</keyword>
  89.         <keyword>transport</keyword>
  90.         <keyword>type</keyword>
  91.         <keyword>unaffected</keyword>
  92.         <keyword>units</keyword>
  93.         <keyword>until</keyword>
  94.         <keyword>use</keyword>
  95.         <keyword>variable</keyword>
  96.         <keyword>wait</keyword>
  97.         <keyword>when</keyword>
  98.         <keyword>while</keyword>
  99.         <keyword>with</keyword>
  100.         <keyword>note</keyword>
  101.         <keyword>warning</keyword>
  102.         <keyword>error</keyword>
  103.         <keyword>failure</keyword>
  104.         <keyword>and</keyword>
  105.         <keyword>nand</keyword>
  106.         <keyword>or</keyword>
  107.         <keyword>nor</keyword>
  108.         <keyword>xor</keyword>
  109.         <keyword>xnor</keyword>
  110.         <keyword>rol</keyword>
  111.         <keyword>ror</keyword>
  112.         <keyword>sla</keyword>
  113.         <keyword>sll</keyword>
  114.         <keyword>sra</keyword>
  115.         <keyword>srl</keyword>
  116.         <keyword>mod</keyword>
  117.         <keyword>rem</keyword>
  118.         <keyword>abs</keyword>
  119.         <keyword>not</keyword>
  120.     </keyword-list>
  121.  
  122.     <keyword-list _name = "Type" style = "Data Type" case-sensitive="FALSE">
  123.         <keyword>bit</keyword>
  124.         <keyword>bit_vector</keyword>
  125.         <keyword>character</keyword>
  126.         <keyword>boolean</keyword>
  127.         <keyword>integer</keyword>
  128.         <keyword>real</keyword>
  129.         <keyword>time</keyword>
  130.         <keyword>string</keyword>
  131.         <keyword>severity_level</keyword>
  132.         <keyword>positive</keyword>
  133.         <keyword>natural</keyword>
  134.         <keyword>signed</keyword>
  135.         <keyword>unsigned</keyword>
  136.         <keyword>line</keyword>
  137.         <keyword>text</keyword>
  138.         <keyword>std_logc</keyword>
  139.         <keyword>std_logic_vector</keyword>
  140.         <keyword>std_ulogic</keyword>
  141.         <keyword>std_ulogic_vector</keyword>
  142.         <keyword>qsim_state</keyword>
  143.         <keyword>qsim_state_vector</keyword>
  144.         <keyword>qsim_12state</keyword>
  145.         <keyword>qsim_12state_vector</keyword>
  146.         <keyword>qsim_strength</keyword>
  147.         <keyword>mux_bit</keyword>
  148.         <keyword>mux_vectory</keyword>
  149.         <keyword>reg_bit</keyword>
  150.         <keyword>reg_vector</keyword>
  151.         <keyword>wor_bit</keyword>
  152.         <keyword>wor_vector</keyword>
  153.     </keyword-list>
  154.  
  155.     <pattern-item _name = "Number" style = "Decimal">
  156.         <regex>\b[0-9]+(\b|\.|\.[0-9]*\b)</regex>
  157.     </pattern-item>
  158.  
  159.     <keyword-list _name = "True and False" style = "Specials" case-sensitive="FALSE">
  160.         <keyword>true</keyword>
  161.         <keyword>false</keyword>
  162.     </keyword-list>
  163.  
  164. </language>
  165.