home *** CD-ROM | disk | FTP | other *** search
/ Chip 2007 November / CPNL0711.ISO / communic / email / Evolution-2.8.2-2.msi / Data1.cab / verilog.lang < prev    next >
Extensible Markup Language  |  2007-03-07  |  4KB  |  142 lines

  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!DOCTYPE language SYSTEM "language.dtd">
  3. <language _name="Verilog" version="1.0" _section="Sources" mimetypes="text/x-verilog-src">
  4.  
  5.     <escape-char>\</escape-char>
  6.  
  7.     <line-comment _name="Line Comment" style="Comment">
  8.         <start-regex>//</start-regex>
  9.     </line-comment>
  10.  
  11.     <block-comment _name="Block Comment" style="Comment">
  12.         <start-regex>/\*</start-regex>
  13.             <end-regex>\*/</end-regex>
  14.     </block-comment>
  15.  
  16.     <keyword-list _name="Keywords" style="Keyword" case-sensitive="TRUE">
  17.         <keyword>always</keyword>
  18.         <keyword>assign</keyword>
  19.         <keyword>case</keyword>
  20.         <keyword>casex</keyword>
  21.         <keyword>casez</keyword>
  22.         <keyword>deassign</keyword>
  23.         <keyword>default</keyword>
  24.         <keyword>defparam</keyword>
  25.         <keyword>disable</keyword>
  26.         <keyword>edge</keyword>
  27.         <keyword>else</keyword>
  28.         <keyword>end</keyword>
  29.         <keyword>endcase</keyword>
  30.         <keyword>endmodule</keyword>
  31.               <keyword>endfunction</keyword>
  32.         <keyword>endprimitive</keyword>
  33.         <keyword>endspecify</keyword>
  34.         <keyword>endtable</keyword>
  35.         <keyword>endtask</keyword>
  36.         <keyword>for</keyword>
  37.         <keyword>force</keyword>
  38.         <keyword>forever</keyword>
  39.         <keyword>for</keyword>
  40.         <keyword>function</keyword>
  41.         <keyword>highz0</keyword>
  42.         <keyword>highz1</keyword>
  43.         <keyword>if</keyword>
  44.         <keyword>ifnone</keyword>
  45.         <keyword>initial</keyword>
  46.         <keyword>join</keyword>
  47.         <keyword>large</keyword>
  48.         <keyword>macromodule</keyword>
  49.         <keyword>medium</keyword>
  50.         <keyword>module</keyword>
  51.         <keyword>negedge</keyword>
  52.         <keyword>posedge</keyword>
  53.         <keyword>primitive</keyword>
  54.         <keyword>pull0</keyword>
  55.         <keyword>pull1</keyword>
  56.         <keyword>release</keyword>
  57.         <keyword>repeat</keyword>
  58.         <keyword>small</keyword>
  59.         <keyword>specify</keyword>
  60.         <keyword>specparam</keyword>
  61.         <keyword>strong0</keyword>
  62.         <keyword>strong1</keyword>
  63.         <keyword>task</keyword>
  64.         <keyword>wait</keyword>
  65.         <keyword>weak0</keyword>
  66.         <keyword>weak1</keyword>
  67.         <keyword>while</keyword>
  68.     </keyword-list>
  69.  
  70.     <keyword-list _name="Gates" style="Keyword" case-sensitive="TRUE">
  71.         <keyword>and</keyword>
  72.         <keyword>buf</keyword>
  73.         <keyword>bufif0</keyword>
  74.         <keyword>bufif1</keyword>
  75.         <keyword>cmos</keyword>
  76.         <keyword>nand</keyword>
  77.         <keyword>nmos</keyword>
  78.         <keyword>nor</keyword>
  79.         <keyword>not</keyword>
  80.         <keyword>notif0</keyword>
  81.         <keyword>notif1</keyword>
  82.         <keyword>or</keyword>
  83.         <keyword>pmos</keyword>
  84.         <keyword>pullup</keyword>
  85.         <keyword>pulldown</keyword>
  86.         <keyword>rcmos</keyword>
  87.         <keyword>rnmos</keyword>
  88.         <keyword>rpmos</keyword>
  89.         <keyword>rtran</keyword>
  90.         <keyword>rtranif0</keyword>
  91.         <keyword>rtranif1</keyword>
  92.         <keyword>tran</keyword>
  93.         <keyword>tranif0</keyword>
  94.         <keyword>tranif1</keyword>
  95.         <keyword>xnor</keyword>
  96.         <keyword>xor</keyword>
  97.     </keyword-list>
  98.  
  99.     <keyword-list _name="Types" style="Data Type" case-sensitive="TRUE">
  100.         <keyword>event</keyword>
  101.         <keyword>inout</keyword>
  102.         <keyword>input</keyword>
  103.         <keyword>integer</keyword>
  104.               <keyword>output</keyword>
  105.         <keyword>parameter</keyword>
  106.         <keyword>reg</keyword>
  107.         <keyword>real</keyword>
  108.         <keyword>realtime</keyword>
  109.         <keyword>scalared</keyword>
  110.         <keyword>supply0</keyword>
  111.         <keyword>supply1</keyword>
  112.         <keyword>time</keyword>
  113.         <keyword>tri</keyword>
  114.         <keyword>tri0</keyword>
  115.         <keyword>tri1</keyword>
  116.         <keyword>triand</keyword>
  117.         <keyword>trior</keyword>
  118.         <keyword>trireg</keyword>
  119.         <keyword>vectored</keyword>
  120.         <keyword>wand</keyword>
  121.         <keyword>wire</keyword>
  122.         <keyword>wor</keyword>
  123.     </keyword-list>
  124.  
  125.     <pattern-item _name="Binary Number" style="Base-N Integer">
  126.         <regex>\b[1-9][0-9]*'[bB][0-1_xXzZ?]+\b</regex>
  127.     </pattern-item>
  128.  
  129.     <pattern-item _name="Octal Number" style="Base-N Integer">
  130.         <regex>\b[1-9][0-9]*'[oO][0-7_xXzZ?]+\b</regex>
  131.     </pattern-item>
  132.  
  133.     <pattern-item _name="Decimal Number" style="Base-N Integer">
  134.         <regex>\b[1-9][0-9]*'[dD][0-9_xXzZ?]+\b</regex>
  135.     </pattern-item>
  136.  
  137.     <pattern-item _name="Hexadecimal Number" style="Base-N Integer">
  138.         <regex>\b[1-9][0-9]*'[hH][0-9a-fA-F_xXzZ?]+\b</regex>
  139.     </pattern-item>
  140.  
  141. </language>
  142.