home *** CD-ROM | disk | FTP | other *** search
/ OS/2 Shareware BBS: 10 Tools / 10-Tools.zip / VSCPPv8.zip / VACPP / IBMCPP / samples / VISBUILD / CALCULAT / CPPOV13 / IKEYPAD.HPP < prev    next >
Text File  |  1995-04-14  |  2KB  |  71 lines

  1. #ifndef _IKEYPAD_
  2. #define _IKEYPAD_
  3. //****************************************************************************
  4. // IKeypad Class - C++ Header File (ikeypad.hpp)                             *
  5. //                                                                           *
  6. // COPYRIGHT: Copyright (C) International Business Machines Corp., 1994,1995 *
  7. //                                                                           *
  8. // DISCLAIMER OF WARRANTIES:                                                 *
  9. //   The following [enclosed] code is sample code created by IBM             *
  10. //   Corporation.  This sample code is not part of any standard IBM product  *
  11. //   and is provided to you solely for the purpose of assisting you in the   *
  12. //   development of your applications.  The code is provided "AS IS",        *
  13. //   without warranty of any kind.  IBM shall not be liable for any damages  *
  14. //   arising out of your use of the sample code, even if they have been      *
  15. //   advised of the possibility of such damages.                             *
  16. //****************************************************************************
  17. //NOTE: WE RECOMMEND USING A FIXED-SPACE FONT TO LOOK AT THE SOURCE.
  18. //
  19. //
  20. // VERSION 2
  21. //
  22. //****************************************************************************
  23.  
  24. #include <istring.hpp>
  25. #include <istdntfy.hpp>
  26.  
  27. //**************************************************************************
  28. // Class:   IKeypad
  29. //
  30. // Purpose: Describes IKeypad Class.
  31. //   This is a numeric keypad for applications such as the Calculator.
  32. //**************************************************************************
  33.  
  34. class _Export IKeypad : public IStandardNotifier
  35. {
  36. public:
  37.            IKeypad            ();
  38.   virtual  ~IKeypad ();
  39.  
  40. //
  41. // List of Part Events
  42. //
  43.  
  44. static INotificationId digitId;
  45.  
  46. //
  47. // List of Part Attributes - (query and set members)
  48. //
  49.  
  50. virtual IString
  51.   digit () const;
  52.  
  53. virtual IKeypad&
  54.   setDigit (IString iDigit);
  55.  
  56. //
  57. // List of Part Actions
  58. //
  59.  
  60.  
  61. protected:
  62. //
  63. // List of data members
  64. //
  65.  
  66.   IString dDigit;
  67.  
  68. };
  69.  
  70. #endif
  71.